diff options
Diffstat (limited to 'sci-electronics')
98 files changed, 1458 insertions, 1454 deletions
diff --git a/sci-electronics/NanoVNA-QT/files/NanoVNA-QT-20200507-missing_headers.patch b/sci-electronics/NanoVNA-QT/files/NanoVNA-QT-20200507-missing_headers.patch index cebe3c5239fa..d49cef3f53c6 100644 --- a/sci-electronics/NanoVNA-QT/files/NanoVNA-QT-20200507-missing_headers.patch +++ b/sci-electronics/NanoVNA-QT/files/NanoVNA-QT-20200507-missing_headers.patch @@ -8,6 +8,16 @@ using namespace std; typedef function<void(string dut_name, double cableLen1, double cableLen2)> xavna_ui_changed_cb; +--- a/vna_qt/firmwareupdater.H ++++ b/vna_qt/firmwareupdater.H +@@ -2,6 +2,7 @@ + #define FIRMWAREUPDATER_H + #include <string> + #include <functional> ++#include <cstdint> + #include <pthread.h> + using namespace std; + --- a/vna_qt/polarview.C +++ b/vna_qt/polarview.C @@ -3,6 +3,7 @@ @@ -18,3 +28,13 @@ PolarView::PolarView(QWidget *parent) : QWidget(parent) { +--- a/vna_qt/polarview.H ++++ b/vna_qt/polarview.H +@@ -5,6 +5,7 @@ + #include <QImage> + #include <vector> + #include <complex> ++#include <cstdint> + using namespace std; + + diff --git a/sci-electronics/eagle/Manifest b/sci-electronics/eagle/Manifest index 151cae87e0d1..95a1de9a0fc2 100644 --- a/sci-electronics/eagle/Manifest +++ b/sci-electronics/eagle/Manifest @@ -1,3 +1 @@ DIST Autodesk_EAGLE_9.6.2_English_Linux_64bit.tar.gz 171780187 BLAKE2B 6fb627448068b8af8fb2a4117c84386a300acef769afe8524cae703afb09edf719f19fc4a5298d091667f3d4c09e8ec06a0da4a16274cb6e6c64a1ae349ed014 SHA512 4ef17dfa52b1ee0f5ba68896bc3821616a1b7d1a073a586604271cd7129e29671c480cd324cc5eadc517eb300ca4be6efa69423a306944e36732c2aa34cc59a3 -DIST eagle-lin32-7.7.0.run 51488593 BLAKE2B e1556b7abe6fb405632015f39bdd8e8c61891ebab7e3f59d41aaa0c6ecaea2f050ced62552656a2311542fee497dbe8fbe43c958a8e76a9b00217c430f678072 SHA512 7ebe73bcd71857c5b8087e911ebfc45507715725abab15b80c9800476128790f8e373d01c52c05a87102597d355390ca0687964eff74af1283b162fba8dbb53b -DIST eagle-lin64-7.7.0.run 51108322 BLAKE2B 1458199821328e811632cdb7457f256b9d97c40005c0ce71eb65d9c3f75049618e76e0c7e3cbb2e3171649bb412270409fd601bfe6f51c79c6cc3d01c12fcf66 SHA512 1f0b38be16b87f67f64709e7e39b888592240d98cdcb7b81ee77f236d9cdea5127e661db5cff470d9673f8247bea49732be3b443cdc5fbd1dca34e26896a6770 diff --git a/sci-electronics/eagle/eagle-7.7.0-r1.ebuild b/sci-electronics/eagle/eagle-7.7.0-r1.ebuild deleted file mode 100644 index 713f28d478b3..000000000000 --- a/sci-electronics/eagle/eagle-7.7.0-r1.ebuild +++ /dev/null @@ -1,129 +0,0 @@ -# Copyright 1999-2022 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI="6" - -inherit desktop - -DESCRIPTION="CadSoft EAGLE schematic and printed circuit board (PCB) layout editor" -HOMEPAGE="http://www.cadsoft.de" -SRC_URI=" - x86? ( ftp://ftp.cadsoft.de/${PN}/program/${PV%\.[0-9]}/${PN}-lin32-${PV}.run ) - amd64? ( ftp://ftp.cadsoft.de/${PN}/program/${PV%\.[0-9]}/${PN}-lin64-${PV}.run )" - -LICENSE="cadsoft-2" -SLOT="0" -KEYWORDS="-* amd64 x86" -IUSE="doc" - -QA_PREBUILT="opt/eagle/bin/eagle" -RESTRICT="mirror bindist" - -RDEPEND=" - sys-libs/glibc - || ( <dev-libs/openssl-1.1 dev-libs/openssl-compat:1.0.0 ) - >=sys-libs/zlib-1.2.8-r1 - >=media-libs/freetype-2.5.0.1 - >=media-libs/fontconfig-2.10.92 - x11-libs/libXext - x11-libs/libX11 - >=x11-libs/libXrender-0.9.8 - >=x11-libs/libXrandr-1.4.2 - >=x11-libs/libXcursor-1.1.14 - >=x11-libs/libXi-1.7.2 - net-print/cups - x11-libs/libxcb -" - -# Append ${PV} since that's what upstream installs to -case "${LINGUAS}" in - *de*) - MY_LANG="de";; - *) - MY_LANG="en";; -esac - -src_unpack() { - # Extract the built-in .tar.bz2 file starting at __DATA__ - sed -e '1,/^__DATA__$/d' "${DISTDIR}/${A}" | tar xj || die "unpacking failed" -} - -src_install() { - local installdir="/opt/eagle" - - # Set MY_LANG for this function only since UPDATE_zh and README_zh - # don't exist - [[ ${LINGUAS} == *zh* ]] && MY_INST_LANG="zh" || MY_INST_LANG="${MY_LANG}" - - # Install the documentation - cd doc/ - - local pattern="^((README|UPDATE)_${MY_LANG}|library_${MY_LANG}\.txt)$" - for docs in README_* UPDATE_* library_*.txt; do - if [[ $docs =~ $pattern ]]; then - dodoc $docs - fi - - rm -f $docs - done - - doman eagle.1 - rm eagle.1 - - # Install extra documentation if requested - pattern="^((tutorial|manual|generate-3d-idf-data)_|(connect-device-split-symbol|make-symbol-device-package-bsdl-2011)-)${MY_LANG}.pdf$" - if use doc; then - cd ulp/ - for docs in generate-3d-idf-data_*.pdf connect-device-split-symbol-*.pdf make-symbol-device-package-bsdl-2011-*.pdf; do - if [[ ! $docs =~ $pattern ]]; then - rm $docs - fi - done - cd ../ - - for docs in manual_* tutorial_*; do - if [[ ! $docs =~ $pattern ]]; then - rm $docs - fi - done - else - rm {elektro-tutorial,manual_*,tutorial_*,layer-setup_designrules}.pdf - rm -rf ulp/ - fi - - rm -f license*.txt eagle.dtd - - cd "${S}" - - insinto $installdir - doins -r . - - fperms 0755 ${installdir}/bin/eagle - - # Install wrapper (suppressing leading tabs) - # see bug #188368 or http://www.cadsoftusa.com/training/faq/#3 - exeinto /opt/bin - newexe "${FILESDIR}/eagle_wrapper_script" eagle - # Finally, append the path of the eagle binary respecting $installdir and any - # arguments passed to the script (thanks Denilson) - echo "${installdir}/bin/eagle" '"$@"' >> "${D}/opt/bin/eagle" - - echo -e "ROOTPATH=${installdir}/bin\nPRELINK_PATH_MASK=${installdir}" > "${S}/90eagle-${PV}" - doenvd "${S}/90eagle-${PV}" - - # Create desktop entry - newicon bin/${PN}icon50.png ${PF}-icon50.png - make_desktop_entry "${EPREFIX}/opt/bin/eagle" "CadSoft EAGLE Layout Editor" ${PF}-icon50 "Graphics;Electronics" -} - -pkg_postinst() { - elog "Run \`env-update && source /etc/profile\` from within \${ROOT}" - elog "now to set up the correct paths." - elog "You must first run eagle as root to invoke product registration." - echo - ewarn "Due to some necessary changes in the data structure, once you edit" - ewarn "a file with version 7.x you will no longer be able to edit it" - ewarn "with versions prior to 7.0!" - ewarn - ewarn "Please read /usr/share/doc/${PF}/UPDATE_${MY_LANG} if you are upgrading from a version prior 7.x!" -} diff --git a/sci-electronics/eagle/files/eagle_wrapper_script b/sci-electronics/eagle/files/eagle_wrapper_script deleted file mode 100644 index 5a20922893c6..000000000000 --- a/sci-electronics/eagle/files/eagle_wrapper_script +++ /dev/null @@ -1,8 +0,0 @@ -#!/bin/bash - -# From http://www.cadsoft.de/faq.htm#17040701 -# Work around problems with the Composite X extension -export XLIB_SKIP_ARGB_VISUALS=1 - -# The ebuild will append the final line respecting INSTALLDIR -# Default is /opt/eagle/bin/eagle "$@" diff --git a/sci-electronics/electric/Manifest b/sci-electronics/electric/Manifest index 843f33a477e1..7e7343f964d0 100644 --- a/sci-electronics/electric/Manifest +++ b/sci-electronics/electric/Manifest @@ -1,2 +1 @@ -DIST electricBinary-9.03.jar 23591444 BLAKE2B f5185917821855eed90dbd39dc8f6a390c9b117c06d960a01ef7061ec7650d13b549950e53c43c4bd0864c0adebc32c1c2e5c68a32dd09f2f46d0111aa8a7fe0 SHA512 e4430c87e1c0d335341057950b887988f46bd222ab6c2d989312036592f16db0b51169f3affc01fe0dbd8077674ed934d4896de46a0f9ee00e71453b2a6b18f2 DIST electricBinary-9.07.jar 21244560 BLAKE2B 23ad96fc7b213776e0296e281bd289f554261df85738f30b5369f743523231456b8e29f9b18a26ac338c2f093ec514ce219997b763c92aaa0870339af7732f31 SHA512 1f9a1cc061b99c868df25c3bf1da3e21e9d26dc25b6c72b10c8f53488f3ddf0d522e821d4cd57eb85c95fe903fb6ada0d92d501f577d0fe4934988bb4c2d596a diff --git a/sci-electronics/electric/electric-9.03.ebuild b/sci-electronics/electric/electric-9.03.ebuild deleted file mode 100644 index eddba70359cf..000000000000 --- a/sci-electronics/electric/electric-9.03.ebuild +++ /dev/null @@ -1,30 +0,0 @@ -# Copyright 1999-2018 Gentoo Foundation -# Distributed under the terms of the GNU General Public License v2 - -EAPI=6 - -inherit desktop java-pkg-2 - -DESCRIPTION="Complete Electronic Design Automation system for many forms of circuit design" -HOMEPAGE="https://www.gnu.org/software/electric/electric.html" -SRC_URI="mirror://gnu/electric/${PN}Binary-${PV}.jar" - -LICENSE="GPL-2" -SLOT="0" -KEYWORDS="~amd64 ~x86" -IUSE="" - -RDEPEND=" - >=virtual/jre-1.6 - sci-electronics/electronics-menu" -DEPEND="app-arch/unzip" - -S=${WORKDIR} - -src_install() { - java-pkg_newjar "${DISTDIR}"/${PN}Binary-${PV}.jar - java-pkg_dolauncher ${PN} - - newicon com/sun/electric/tool/user/help/helphtml/iconplug.png electric.png - make_desktop_entry electric "Electric VLSI Design System" electric "Electronics" -} diff --git a/sci-electronics/electronics-menu/electronics-menu-1.0-r1.ebuild b/sci-electronics/electronics-menu/electronics-menu-1.0-r1.ebuild index 8a6fb4140dd1..1eb3d3d4e2cd 100644 --- a/sci-electronics/electronics-menu/electronics-menu-1.0-r1.ebuild +++ b/sci-electronics/electronics-menu/electronics-menu-1.0-r1.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2022 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -11,4 +11,4 @@ SRC_URI="http://geda.seul.org/dist/${P}.tar.gz" LICENSE="GPL-2" SLOT="0" -KEYWORDS="amd64 ~arm64 ppc ppc64 ~riscv sparc x86" +KEYWORDS="amd64 ~arm64 ~ppc ppc64 ~riscv sparc ~x86" diff --git a/sci-electronics/espresso-ab/espresso-ab-1.0-r3.ebuild b/sci-electronics/espresso-ab/espresso-ab-1.0-r3.ebuild index 2937cb028c81..2067bda91c74 100644 --- a/sci-electronics/espresso-ab/espresso-ab-1.0-r3.ebuild +++ b/sci-electronics/espresso-ab/espresso-ab-1.0-r3.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2022 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=8 @@ -11,7 +11,7 @@ SRC_URI="ftp://ftp.cs.man.ac.uk/pub/amulet/balsa/other-software/${P}.tar.gz" LICENSE="BSD" SLOT="0" -KEYWORDS="amd64 ~ppc ~sparc x86" +KEYWORDS="amd64 ~ppc ~sparc ~x86" src_prepare() { default diff --git a/sci-electronics/gazebo/Manifest b/sci-electronics/gazebo/Manifest index b778335ec365..bec10d111a87 100644 --- a/sci-electronics/gazebo/Manifest +++ b/sci-electronics/gazebo/Manifest @@ -1 +1 @@ -DIST gazebo-11.12.0.tar.bz2 56542859 BLAKE2B f2da8371fa4655cc831401fcf6ceec8c82d507a93515762c078ac20a78cb4b739c9f373ef53bebc71b5d7e1c1cf4b98928b3e855fca6943a09da554ee19df1cb SHA512 8f60d432ab07084951bbe31c632f04f2fa11500441fb81fe27078a5cb5b67ba681889e1079844d44a5a30df562797d51683fd674942db9fd8c4d853e3e2c5d3e +DIST gazebo-11.13.0.tar.bz2 56636967 BLAKE2B 7c0ef875711e832a213631d97584edc2300d25be6d538d6f2ac782ab279b6518e1fb7c59d895a1c7b92fbaec46bb0e7c505afb34f05ab2eece016f5bd15a7c3f SHA512 a77ce4a02f723c615738d11a13aa10fa5124705bc9ca95153477d8df25b296eef7d442769379d3888a9ba0f04c1e5a3e38d686e7b5eef393b91bdc78f792c390 diff --git a/sci-electronics/gazebo/files/protobuf.patch b/sci-electronics/gazebo/files/protobuf.patch new file mode 100644 index 000000000000..c815d7a9aab3 --- /dev/null +++ b/sci-electronics/gazebo/files/protobuf.patch @@ -0,0 +1,226 @@ +From 92a44c60e4b38d147fd92ed480e33e61cc68c928 Mon Sep 17 00:00:00 2001 +From: Silvio Traversaro <silvio@traversaro.it> +Date: Tue, 6 Jun 2023 13:50:07 +0200 +Subject: [PATCH 1/6] Support for protobuf >= 22 by using find_package(Protobuf + CONFIG) + +--- + cmake/SearchForStuff.cmake | 49 +++++++++++++++++++++++++----------- + cmake/gazebo-config.cmake.in | 15 ++++++++--- + 2 files changed, 46 insertions(+), 18 deletions(-) + +diff --git a/cmake/SearchForStuff.cmake b/cmake/SearchForStuff.cmake +index b1cb3f37b3..e0fbd68274 100644 +--- a/cmake/SearchForStuff.cmake ++++ b/cmake/SearchForStuff.cmake +@@ -25,29 +25,48 @@ if (CMAKE_BUILD_TYPE) + endif() + + ######################################## +-if (PROTOBUF_VERSION LESS 2.3.0) ++if (DEFINED PROTOBUF_VERSION AND PROTOBUF_VERSION GREATER_EQUAL 22.0) + BUILD_ERROR("Incorrect version: Gazebo requires protobuf version 2.3.0 or greater") + endif() + + ######################################## + # The Google Protobuf library for message generation + serialization +-find_package(Protobuf REQUIRED) +-if (NOT PROTOBUF_FOUND) +- BUILD_ERROR ("Missing: Google Protobuf (libprotobuf-dev)") +-endif() +-if (NOT PROTOBUF_PROTOC_EXECUTABLE) +- BUILD_ERROR ("Missing: Google Protobuf Compiler (protobuf-compiler)") +-endif() +-if (NOT PROTOBUF_PROTOC_LIBRARY) +- BUILD_ERROR ("Missing: Google Protobuf Compiler Library (libprotoc-dev)") ++ ++# Protobuf >= 22 requires to link abseil, so we are constrained to use ++# find_package(Protobuf) and link to protobuf::libprotobuf, ++# see https://github.com/conda-forge/conda-forge-pinning-feedstock/issues/4075#issuecomment-1569242816 ++if (DEFINED PROTOBUF_VERSION AND PROTOBUF_VERSION GREATER_EQUAL 22.0) ++ set(GZ_PROTOBUF_USE_CMAKE_CONFIG_DEFAULT ON) ++else() ++ set(GZ_PROTOBUF_USE_CMAKE_CONFIG_DEFAULT OFF) + endif() ++option(GZ_PROTOBUF_USE_CMAKE_CONFIG "If true use protobuf-config.cmake to find protobuf" ${GZ_PROTOBUF_USE_CMAKE_CONFIG_DEFAULT}) ++mark_as_advanced(GZ_PROTOBUF_USE_CMAKE_CONFIG) + +-if ("${CMAKE_BUILD_TYPE}" STREQUAL "Debug") +- set (GZ_PROTOBUF_LIBRARY ${PROTOBUF_LIBRARY_DEBUG}) +- set (GZ_PROTOBUF_PROTOC_LIBRARY ${PROTOBUF_PROTOC_LIBRARY_DEBUG}) ++if(NOT GZ_PROTOBUF_USE_CMAKE_CONFIG) ++ find_package(Protobuf REQUIRED) ++ if (NOT PROTOBUF_FOUND) ++ BUILD_ERROR ("Missing: Google Protobuf (libprotobuf-dev)") ++ endif() ++ if (NOT PROTOBUF_PROTOC_EXECUTABLE) ++ BUILD_ERROR ("Missing: Google Protobuf Compiler (protobuf-compiler)") ++ endif() ++ if (NOT PROTOBUF_PROTOC_LIBRARY) ++ BUILD_ERROR ("Missing: Google Protobuf Compiler Library (libprotoc-dev)") ++ endif() ++ if ("${CMAKE_BUILD_TYPE}" STREQUAL "Debug") ++ set (GZ_PROTOBUF_LIBRARY ${PROTOBUF_LIBRARY_DEBUG}) ++ set (GZ_PROTOBUF_PROTOC_LIBRARY ${PROTOBUF_PROTOC_LIBRARY_DEBUG}) ++ else() ++ set (GZ_PROTOBUF_LIBRARY ${PROTOBUF_LIBRARY}) ++ set (GZ_PROTOBUF_PROTOC_LIBRARY ${PROTOBUF_PROTOC_LIBRARY}) ++ endif() + else() +- set (GZ_PROTOBUF_LIBRARY ${PROTOBUF_LIBRARY}) +- set (GZ_PROTOBUF_PROTOC_LIBRARY ${PROTOBUF_PROTOC_LIBRARY}) ++ set (GZ_PROTOBUF_LIBRARY protobuf::libprotobuf) ++ set (GZ_PROTOBUF_PROTOC_LIBRARY protobuf::libprotoc) ++ if(NOT DEFINED PROTOBUF_PROTOC_LIBRARY) ++ get_target_property(PROTOBUF_PROTOC_LIBRARY protobuf::protoc LOCATION) ++ endif() + endif() + + ######################################## +diff --git a/cmake/gazebo-config.cmake.in b/cmake/gazebo-config.cmake.in +index 96993f93fa..ac1de2c061 100644 +--- a/cmake/gazebo-config.cmake.in ++++ b/cmake/gazebo-config.cmake.in +@@ -166,9 +166,18 @@ list(APPEND @PKG_NAME@_INCLUDE_DIRS ${Boost_INCLUDE_DIRS}) + list(APPEND @PKG_NAME@_LIBRARIES ${Boost_LIBRARIES}) + + # Find protobuf +-find_package(Protobuf REQUIRED) +-list(APPEND @PKG_NAME@_INCLUDE_DIRS ${PROTOBUF_INCLUDE_DIRS}) +-list(APPEND @PKG_NAME@_LIBRARIES ${PROTOBUF_LIBRARIES}) ++set(GZ_PROTOBUF_USE_CMAKE_CONFIG @GZ_PROTOBUF_USE_CMAKE_CONFIG@) ++ ++if(NOT GZ_PROTOBUF_USE_CMAKE_CONFIG) ++ find_package(Protobuf REQUIRED) ++ list(APPEND @PKG_NAME@_INCLUDE_DIRS ${PROTOBUF_INCLUDE_DIRS}) ++ list(APPEND @PKG_NAME@_LIBRARIES ${PROTOBUF_LIBRARIES}) ++else() ++ find_package(Protobuf CONFIG REQUIRED) ++ list(APPEND @PKG_NAME@_INCLUDE_DIRS ${PROTOBUF_INCLUDE_DIRS}) ++ list(APPEND @PKG_NAME@_LIBRARIES protobuf::libprotoc) ++ list(APPEND @PKG_NAME@_LIBRARIES protobuf::libprotobuf) ++endif() + + # Find SDFormat + find_package(sdformat9 REQUIRED VERSION 9.8) + +From 83f05b7778ff2933d8bd3b9149207dd17a20b9b4 Mon Sep 17 00:00:00 2001 +From: Silvio Traversaro <silvio@traversaro.it> +Date: Tue, 6 Jun 2023 14:18:48 +0200 +Subject: [PATCH 2/6] Update SearchForStuff.cmake + +--- + cmake/SearchForStuff.cmake | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +diff --git a/cmake/SearchForStuff.cmake b/cmake/SearchForStuff.cmake +index e0fbd68274..d25b7a4a63 100644 +--- a/cmake/SearchForStuff.cmake ++++ b/cmake/SearchForStuff.cmake +@@ -25,7 +25,7 @@ if (CMAKE_BUILD_TYPE) + endif() + + ######################################## +-if (DEFINED PROTOBUF_VERSION AND PROTOBUF_VERSION GREATER_EQUAL 22.0) ++if (PROTOBUF_VERSION LESS 2.3.0) + BUILD_ERROR("Incorrect version: Gazebo requires protobuf version 2.3.0 or greater") + endif() + + +From 398e832301429b2f406a5a0e35187ca8fabd50ad Mon Sep 17 00:00:00 2001 +From: Silvio Traversaro <silvio@traversaro.it> +Date: Tue, 6 Jun 2023 23:25:06 +0200 +Subject: [PATCH 3/6] Update SearchForStuff.cmake + +--- + cmake/SearchForStuff.cmake | 1 + + 1 file changed, 1 insertion(+) + +diff --git a/cmake/SearchForStuff.cmake b/cmake/SearchForStuff.cmake +index d25b7a4a63..2f0b53358f 100644 +--- a/cmake/SearchForStuff.cmake ++++ b/cmake/SearchForStuff.cmake +@@ -62,6 +62,7 @@ if(NOT GZ_PROTOBUF_USE_CMAKE_CONFIG) + set (GZ_PROTOBUF_PROTOC_LIBRARY ${PROTOBUF_PROTOC_LIBRARY}) + endif() + else() ++ find_package(Protobuf CONFIG REQUIRED) + set (GZ_PROTOBUF_LIBRARY protobuf::libprotobuf) + set (GZ_PROTOBUF_PROTOC_LIBRARY protobuf::libprotoc) + if(NOT DEFINED PROTOBUF_PROTOC_LIBRARY) + +From d46bf2353a25b38b9613b67f2567f729e133d2b7 Mon Sep 17 00:00:00 2001 +From: Silvio Traversaro <silvio@traversaro.it> +Date: Tue, 6 Jun 2023 23:55:22 +0200 +Subject: [PATCH 4/6] Update SearchForStuff.cmake + +--- + cmake/SearchForStuff.cmake | 4 +++- + 1 file changed, 3 insertions(+), 1 deletion(-) + +diff --git a/cmake/SearchForStuff.cmake b/cmake/SearchForStuff.cmake +index 2f0b53358f..1bbadd3c29 100644 +--- a/cmake/SearchForStuff.cmake ++++ b/cmake/SearchForStuff.cmake +@@ -66,7 +66,9 @@ else() + set (GZ_PROTOBUF_LIBRARY protobuf::libprotobuf) + set (GZ_PROTOBUF_PROTOC_LIBRARY protobuf::libprotoc) + if(NOT DEFINED PROTOBUF_PROTOC_LIBRARY) +- get_target_property(PROTOBUF_PROTOC_LIBRARY protobuf::protoc LOCATION) ++ get_target_property(PROTOBUF_PROTOC_LIBRARY_VAR protobuf::protoc LOCATION) ++ set(PROTOBUF_PROTOC_LIBRARY ${PROTOBUF_PROTOC_LIBRARY_VAR} CACHE STRING "") ++ mark_as_advanced(PROTOBUF_PROTOC_LIBRARY) + endif() + endif() + + +From f372ef83b99bf2dc2f4a456ab74c7831c347ec89 Mon Sep 17 00:00:00 2001 +From: Silvio Traversaro <silvio@traversaro.it> +Date: Wed, 7 Jun 2023 00:39:40 +0200 +Subject: [PATCH 5/6] Update SearchForStuff.cmake + +--- + cmake/SearchForStuff.cmake | 6 ++---- + 1 file changed, 2 insertions(+), 4 deletions(-) + +diff --git a/cmake/SearchForStuff.cmake b/cmake/SearchForStuff.cmake +index 1bbadd3c29..c400bee0e3 100644 +--- a/cmake/SearchForStuff.cmake ++++ b/cmake/SearchForStuff.cmake +@@ -65,10 +65,8 @@ else() + find_package(Protobuf CONFIG REQUIRED) + set (GZ_PROTOBUF_LIBRARY protobuf::libprotobuf) + set (GZ_PROTOBUF_PROTOC_LIBRARY protobuf::libprotoc) +- if(NOT DEFINED PROTOBUF_PROTOC_LIBRARY) +- get_target_property(PROTOBUF_PROTOC_LIBRARY_VAR protobuf::protoc LOCATION) +- set(PROTOBUF_PROTOC_LIBRARY ${PROTOBUF_PROTOC_LIBRARY_VAR} CACHE STRING "") +- mark_as_advanced(PROTOBUF_PROTOC_LIBRARY) ++ if(NOT DEFINED PROTOBUF_PROTOC_EXECUTABLE) ++ get_target_property(PROTOBUF_PROTOC_EXECUTABLE protobuf::protoc LOCATION) + endif() + endif() + + +From c4674eee1ad234d4a74bafc1d3ec401b595d3d63 Mon Sep 17 00:00:00 2001 +From: Steve Peters <computersthatmove@gmail.com> +Date: Wed, 14 Jun 2023 09:27:16 -0700 +Subject: [PATCH 6/6] Fix whitespace + +Signed-off-by: Steve Peters <scpeters@openrobotics.org> +--- + cmake/SearchForStuff.cmake | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +diff --git a/cmake/SearchForStuff.cmake b/cmake/SearchForStuff.cmake +index c400bee0e3..948cf0a8a1 100644 +--- a/cmake/SearchForStuff.cmake ++++ b/cmake/SearchForStuff.cmake +@@ -32,7 +32,7 @@ endif() + ######################################## + # The Google Protobuf library for message generation + serialization + +-# Protobuf >= 22 requires to link abseil, so we are constrained to use ++# Protobuf >= 22 requires to link abseil, so we are constrained to use + # find_package(Protobuf) and link to protobuf::libprotobuf, + # see https://github.com/conda-forge/conda-forge-pinning-feedstock/issues/4075#issuecomment-1569242816 + if (DEFINED PROTOBUF_VERSION AND PROTOBUF_VERSION GREATER_EQUAL 22.0) diff --git a/sci-electronics/gazebo/gazebo-11.12.0.ebuild b/sci-electronics/gazebo/gazebo-11.13.0.ebuild index 79c54a12daca..801fdd8a98a1 100644 --- a/sci-electronics/gazebo/gazebo-11.12.0.ebuild +++ b/sci-electronics/gazebo/gazebo-11.13.0.ebuild @@ -57,7 +57,7 @@ DEPEND="${RDEPEND} test? ( dev-libs/libxslt ) " BDEPEND=" - || ( app-text/ronn-ng app-text/ronn ) + app-text/ronn-ng app-arch/gzip virtual/pkgconfig " @@ -65,6 +65,7 @@ CMAKE_BUILD_TYPE=RelWithDebInfo PATCHES=( "${FILESDIR}/qwt2.patch" "${FILESDIR}/cmake.patch" + "${FILESDIR}/protobuf.patch" ) src_configure() { diff --git a/sci-electronics/geda/geda-1.9.2-r1.ebuild b/sci-electronics/geda/geda-1.9.2-r1.ebuild index e4657a2c3eeb..06fbdeff605a 100644 --- a/sci-electronics/geda/geda-1.9.2-r1.ebuild +++ b/sci-electronics/geda/geda-1.9.2-r1.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2021 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -14,7 +14,7 @@ SRC_URI="http://ftp.geda-project.org/${MY_PN}/unstable/v$(ver_cut 1-2)/${PV}/${M LICENSE="GPL-2" SLOT="0" -KEYWORDS="amd64 ~ppc x86" +KEYWORDS="amd64 ~ppc ~x86" IUSE="debug doc examples nls stroke threads" RDEPEND=" diff --git a/sci-electronics/gerbv/gerbv-2.7.0.ebuild b/sci-electronics/gerbv/gerbv-2.7.0.ebuild index 48219cd522a6..8bca34e8cf4c 100644 --- a/sci-electronics/gerbv/gerbv-2.7.0.ebuild +++ b/sci-electronics/gerbv/gerbv-2.7.0.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2022 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -11,7 +11,7 @@ SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz" LICENSE="GPL-2" SLOT="0" -KEYWORDS="amd64 ~ppc x86" +KEYWORDS="amd64 ~ppc ~x86" IUSE="doc examples unit-mm" RESTRICT="test" diff --git a/sci-electronics/ghdl/Manifest b/sci-electronics/ghdl/Manifest new file mode 100644 index 000000000000..a6d889450929 --- /dev/null +++ b/sci-electronics/ghdl/Manifest @@ -0,0 +1 @@ +DIST ghdl-3.0.0.tar.gz 7160245 BLAKE2B 685d8976db48dd6d7074540ff24fae11e8d75f2b0e91de3095b356c9ed1e8dd762c486b7fb1df5a874a653181c6d4f4be4f8e3f5881d10db941c467a95590f45 SHA512 11b638d0ae757ce2e247ab438c15a8f5cd78c3a025ba6272aa05df548d2a7276c25dbbf83b846284ded8c9864eca3dcdb8342cb532faecd01e7cefaada524ab0 diff --git a/sci-electronics/ghdl/files/ghdl-3.0.0-no-pyunit.patch b/sci-electronics/ghdl/files/ghdl-3.0.0-no-pyunit.patch new file mode 100644 index 000000000000..7730d380494d --- /dev/null +++ b/sci-electronics/ghdl/files/ghdl-3.0.0-no-pyunit.patch @@ -0,0 +1,16 @@ +--- a/testsuite/testsuite.sh ++++ b/testsuite/testsuite.sh +@@ -129,9 +129,10 @@ do_test() { + + pyunit) + # The Python Unit testsuite: regression testsuite for Python bindings to libghdl +- gstart "[GHDL - test] pyunit" +- PYTHONPATH=$(pwd)/.. ${PYTHON:-python3} -m pytest -vsrA pyunit +- gend ++ # gstart "[GHDL - test] pyunit" ++ # PYTHONPATH=$(pwd)/.. ${PYTHON:-python3} -m pytest -vsrA pyunit ++ # gend ++ echo "Python Unit testsuite is disabled!" + ;; + + vests) diff --git a/sci-electronics/ghdl/ghdl-3.0.0.ebuild b/sci-electronics/ghdl/ghdl-3.0.0.ebuild new file mode 100644 index 000000000000..43091157283f --- /dev/null +++ b/sci-electronics/ghdl/ghdl-3.0.0.ebuild @@ -0,0 +1,79 @@ +# Copyright 1999-2023 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +ADA_COMPAT=( gnat_2021 ) +LLVM_MAX_SLOT=15 # Check "configure" script for supported LLVM versions. + +inherit ada edo llvm toolchain-funcs + +DESCRIPTION="Open-source analyzer, compiler, and simulator for VHDL 2008/93/87" +HOMEPAGE="https://ghdl.github.io/ghdl/ + https://github.com/ghdl/ghdl/" + +if [[ ${PV} == *9999* ]] ; then + inherit git-r3 + EGIT_REPO_URI="https://github.com/ghdl/${PN}.git" +else + SRC_URI="https://github.com/ghdl/${PN}/archive/v${PV}.tar.gz + -> ${P}.tar.gz" + KEYWORDS="~amd64 ~x86" +fi + +LICENSE="GPL-2+" +SLOT="0" +IUSE="llvm" +REQUIRED_USE="${ADA_REQUIRED_USE}" + +RDEPEND=" + ${ADA_DEPS} + llvm? ( <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):= ) +" +DEPEND=" + ${RDEPEND} +" +BDEPEND=" + dev-util/patchelf +" + +PATCHES=( "${FILESDIR}"/${PN}-3.0.0-no-pyunit.patch ) + +pkg_setup() { + ada_pkg_setup + + use llvm && llvm_pkg_setup +} + +src_prepare() { + default + + sed -i "s|ar rc|$(tc-getAR) rc|g" Makefile.in || die +} + +src_configure() { + tc-export CC CXX + + local -a myconf=( + --disable-werror + + --libdir=$(get_libdir) + --prefix=/usr + + --enable-libghdl + --enable-synth + ) + + if use llvm ; then + myconf+=( --with-llvm-config=llvm-config ) + fi + + # Not a autotools script! + edo sh ./configure "${myconf[@]}" +} + +src_compile() { + default + + patchelf --set-soname libghw.so lib/libghw.so || die +} diff --git a/sci-electronics/ghdl/metadata.xml b/sci-electronics/ghdl/metadata.xml new file mode 100644 index 000000000000..aa290daef91e --- /dev/null +++ b/sci-electronics/ghdl/metadata.xml @@ -0,0 +1,31 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd"> + +<pkgmetadata> + <maintainer type="person"> + <email>xgqt@gentoo.org</email> + <name>Maciej Barć</name> + </maintainer> + <longdescription> + GHDL is a shorthand for G Hardware Design Language (currently, G has no + meaning). It is a VHDL analyzer, compiler, simulator and (experimental) + synthesizer that can process (nearly) any VHDL design. Unlike some other + simulators, GHDL is a compiler: it directly translates a VHDL file to + machine code, without using an intermediary language such as C or C++. + Therefore, the compiled code should be faster and the analysis time should + be shorter than with a compiler using an intermediary language. GHDL can + use multiple back-ends, i.e. code generators, (GCC, LLVM or x86/i386 only, + a built-in one named mcode) and runs on GNU/Linux, Windows and macOS; on + x86, x86_64, armv6/armv7/aarch32/aarch64, ppc64, etc. GHDL aims at + implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and + 2002 revisions and, partially, 2008 and 2019. Property Specification + Language (PSL) is also partially supported. + </longdescription> + <use> + <flag name="llvm">Build LLVM code generator</flag> + </use> + <upstream> + <bugs-to>https://github.com/ghdl/ghdl/issues/</bugs-to> + <remote-id type="github">ghdl/ghdl</remote-id> + </upstream> +</pkgmetadata> diff --git a/sci-electronics/gnucap/gnucap-20210107.ebuild b/sci-electronics/gnucap/gnucap-20210107.ebuild index f29ea8e434d1..9958fe58fcee 100644 --- a/sci-electronics/gnucap/gnucap-20210107.ebuild +++ b/sci-electronics/gnucap/gnucap-20210107.ebuild @@ -10,7 +10,7 @@ HOMEPAGE="http://www.gnucap.org/" IUSE="examples" SLOT="0" LICENSE="GPL-2" -KEYWORDS="amd64 ~ppc x86" +KEYWORDS="amd64 ~ppc ~x86" RDEPEND="sys-libs/readline:=" DEPEND="${RDEPEND}" diff --git a/sci-electronics/gsmc/files/gsmc-1.1-clang16-build-fix.patch b/sci-electronics/gsmc/files/gsmc-1.1-clang16-build-fix.patch new file mode 100644 index 000000000000..bc813a0bed20 --- /dev/null +++ b/sci-electronics/gsmc/files/gsmc-1.1-clang16-build-fix.patch @@ -0,0 +1,41 @@ +Bug: https://bugs.gentoo.org/886137 +--- a/autotune.c ++++ b/autotune.c +@@ -29,6 +29,8 @@ + #include <math.h> + #include "main.h" + #include "autotune.h" ++#include "draw.h" ++#include "calc.h" + #include <unistd.h> + + +--- a/main.h ++++ b/main.h +@@ -112,4 +112,6 @@ gint mdw_expose_event(GtkWidget *, GdkEventExpose *, gpointer), + + void writespice(char *); + void removene(void); ++void loadgw(char *); ++void savegw(char *); + +--- a/print.c ++++ b/print.c +@@ -32,6 +32,7 @@ + #include "main.h" + #include "calc.h" + #include "print.h" ++#include "draw.h" + // jvdh 2004-3-30 + + extern SMCDATA smcdata; +--- a/widget.c ++++ b/widget.c +@@ -36,6 +36,7 @@ + #include "widget.h" + #include "draw.h" + #include "print.h" ++#include "autotune.h" + + extern GtkTextBuffer *txtcircbuff; + extern int Zcirc, Ycirc, RHOcirc, Qcirc, tsidx, fsidx; diff --git a/sci-electronics/gsmc/gsmc-1.1-r3.ebuild b/sci-electronics/gsmc/gsmc-1.1-r3.ebuild new file mode 100644 index 000000000000..ebd74cd54aa1 --- /dev/null +++ b/sci-electronics/gsmc/gsmc-1.1-r3.ebuild @@ -0,0 +1,38 @@ +# Copyright 1999-2023 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit autotools + +DESCRIPTION="A GTK program for doing Smith Chart calculations" +HOMEPAGE="https://www.qsl.net/ik5nax/" +SRC_URI="https://www.qsl.net/ik5nax/${P}.tar.gz" + +LICENSE="GPL-2" +SLOT="0" +KEYWORDS="~amd64 ~x86" + +DEPEND=" + dev-libs/glib:2 + x11-libs/gtk+:2 +" +RDEPEND="${DEPEND}" +BDEPEND="virtual/pkgconfig" + +PATCHES=( + "${FILESDIR}"/${P}-autotools.patch + "${FILESDIR}"/${P}-clang16-build-fix.patch +) + +src_prepare() { + default + eautoreconf +} + +src_install() { + emake DESTDIR="${D}" install + dodoc AUTHORS NEWS README TODO + insinto /usr/share/${PN} + doins example* +} diff --git a/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild b/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild index 81c6e4c2d621..daaf5cffbd0f 100644 --- a/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild +++ b/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2021 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -15,7 +15,7 @@ S="${WORKDIR}/${MY_P}" LICENSE="GPL-3" SLOT="0" -KEYWORDS="amd64 x86" +KEYWORDS="amd64 ~x86" IUSE="examples schematics waveform" DEPEND=" diff --git a/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild b/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild index 0784d1498ca3..931882a1de78 100644 --- a/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild +++ b/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2022 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=8 @@ -11,7 +11,7 @@ SRC_URI="mirror://sourceforge/${PN}/${PN}-gtk3-${PV}.tar.gz" LICENSE="GPL-2 MIT" SLOT="0" -KEYWORDS="~amd64 ~ppc ~x86 ~amd64-linux ~x86-linux" +KEYWORDS="amd64 ~ppc ~x86 ~amd64-linux ~x86-linux" IUSE="doc examples fasttree judy lzma packed tcl" RDEPEND=" diff --git a/sci-electronics/gwave/gwave-20190116.ebuild b/sci-electronics/gwave/gwave-20190116.ebuild index ff747645f0c4..e86b222f850b 100644 --- a/sci-electronics/gwave/gwave-20190116.ebuild +++ b/sci-electronics/gwave/gwave-20190116.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2019 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -10,7 +10,7 @@ HOMEPAGE="http://gwave.sourceforge.net" SRC_URI="mirror://sourceforge/${PN}/gwave3/${P}.tar.gz" LICENSE="GPL-2" -KEYWORDS="amd64 x86" +KEYWORDS="amd64 ~x86" IUSE="gnuplot plotutils" SLOT="0" diff --git a/sci-electronics/iverilog/Manifest b/sci-electronics/iverilog/Manifest index 7fe42d17f047..4b6c9faac096 100644 --- a/sci-electronics/iverilog/Manifest +++ b/sci-electronics/iverilog/Manifest @@ -1,2 +1,3 @@ DIST iverilog-10.3.tar.gz 1600835 BLAKE2B 107c57c61fb27c18f4020f7853bf6ca83d1a86fdc73c57ea207828baf6b7a26d42e43ce7b33580f050a4c0b8f63bca6accecf678323a3bbbee1eb9c1d8fa2caa SHA512 67076e19a208576c21a0462ff7d15d00a9d47740c47518a5523bd928b3118360d85eb84c317963717d15e5246ece3727259f6ff3baf59e195340530cc9086a1d DIST iverilog-11.0.tar.gz 1682457 BLAKE2B ba90574e1453a095143e76e5dc79bb2357a721fc62c24f0fb23d220458819cb3b8ea8494410ce956e68831b682b61f27f64e94e9c166a69e5f6d5d30a8269a11 SHA512 5d6a65e1c3b6b62e99af708cd5a259cc69c6b789dd6eff69e225903d9b8c115d9e5d6f7635b30c6558197cd0ee6fd8196a163fbd98956e24c211d97328b85056 +DIST iverilog-12.0.tar.gz 2995096 BLAKE2B 7e1d4d8302168da3d38aac113daaaa62b80e59d7485be142443cd57ef346e788d9b58564af491a0ad58f64c06e475696238205f3e673f10da3c2cd417020fbed SHA512 a8e112f760903335ef2fbf00529e5fe282b83c1f583c4316373b9410d7fad2a53d5c4adb82dd62491c14a620cb5b1fab117cb0cde638c86b65fe5b7b0dd07152 diff --git a/sci-electronics/iverilog/iverilog-10.3.ebuild b/sci-electronics/iverilog/iverilog-10.3.ebuild index a0e95ea74ac9..ad66c40b299a 100644 --- a/sci-electronics/iverilog/iverilog-10.3.ebuild +++ b/sci-electronics/iverilog/iverilog-10.3.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2022 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=8 @@ -18,7 +18,7 @@ if [[ ${PV} == "9999" ]] ; then EGIT_REPO_URI="https://github.com/steveicarus/${PN}.git" else SRC_URI="https://github.com/steveicarus/${PN}/archive/v${GITHUB_PV}.tar.gz -> ${P}.tar.gz" - KEYWORDS="~alpha amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 sparc x86" + KEYWORDS="~alpha amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 sparc ~x86" S="${WORKDIR}/${PN}-${GITHUB_PV}" fi diff --git a/sci-electronics/iverilog/iverilog-11.0.ebuild b/sci-electronics/iverilog/iverilog-11.0.ebuild index c8842e156a9d..216f953bb8fc 100644 --- a/sci-electronics/iverilog/iverilog-11.0.ebuild +++ b/sci-electronics/iverilog/iverilog-11.0.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2021 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -18,7 +18,7 @@ if [[ ${PV} == "9999" ]] ; then EGIT_REPO_URI="https://github.com/steveicarus/${PN}.git" else SRC_URI="https://github.com/steveicarus/${PN}/archive/v${GITHUB_PV}.tar.gz -> ${P}.tar.gz" - KEYWORDS="~alpha amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 sparc x86" + KEYWORDS="~alpha amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 sparc ~x86" S="${WORKDIR}/${PN}-${GITHUB_PV}" fi diff --git a/sci-electronics/iverilog/iverilog-12.0.ebuild b/sci-electronics/iverilog/iverilog-12.0.ebuild new file mode 100644 index 000000000000..cc85424ee944 --- /dev/null +++ b/sci-electronics/iverilog/iverilog-12.0.ebuild @@ -0,0 +1,65 @@ +# Copyright 1999-2023 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit autotools + +GITHUB_PV=$(ver_rs 1- '_') + +DESCRIPTION="A Verilog simulation and synthesis tool" +HOMEPAGE=" + http://iverilog.icarus.com + https://github.com/steveicarus/iverilog +" + +if [[ ${PV} == "9999" ]] ; then + inherit git-r3 + EGIT_REPO_URI="https://github.com/steveicarus/${PN}.git" +else + SRC_URI="https://github.com/steveicarus/${PN}/archive/v${GITHUB_PV}.tar.gz -> ${P}.tar.gz" + KEYWORDS="~alpha amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 sparc ~x86" + S="${WORKDIR}/${PN}-${GITHUB_PV}" +fi + +LICENSE="LGPL-2.1" +SLOT="0" + +DEPEND=" + sys-libs/readline:= + sys-libs/zlib +" +RDEPEND="${DEPEND}" +BDEPEND=" + dev-util/gperf + sys-devel/bison + sys-devel/flex +" + +src_prepare() { + default + + # From upstreams autoconf.sh, to make it utilize the autotools eclass + # Here translate the autoconf.sh, equivalent to the following code + # > sh autoconf.sh + + # Autoconf in root ... + eautoconf + + # Precompiling lexor_keyword.gperf + gperf -o -i 7 -C -k 1-4,6,9,\$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf \ + > lexor_keyword.cc || die + # Precompiling vhdlpp/lexor_keyword.gperf + cd vhdlpp || die + gperf -o -i 7 --ignore-case -C -k 1-4,6,9,\$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf \ + > lexor_keyword.cc || die +} + +src_install() { + local DOCS=( *.txt ) + + default + + dodoc -r examples + docompress -x /usr/share/doc/${PF}/examples +} diff --git a/sci-electronics/iverilog/iverilog-9999.ebuild b/sci-electronics/iverilog/iverilog-9999.ebuild index de6fe18b47c9..43fe2c27ba04 100644 --- a/sci-electronics/iverilog/iverilog-9999.ebuild +++ b/sci-electronics/iverilog/iverilog-9999.ebuild @@ -1,7 +1,7 @@ -# Copyright 1999-2020 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 -EAPI=7 +EAPI=8 inherit autotools @@ -47,10 +47,12 @@ src_prepare() { eautoconf # Precompiling lexor_keyword.gperf - gperf -o -i 7 -C -k 1-4,6,9,\$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf > lexor_keyword.cc || die + gperf -o -i 7 -C -k 1-4,6,9,\$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf \ + > lexor_keyword.cc || die # Precompiling vhdlpp/lexor_keyword.gperf cd vhdlpp || die - gperf -o -i 7 --ignore-case -C -k 1-4,6,9,\$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf > lexor_keyword.cc || die + gperf -o -i 7 --ignore-case -C -k 1-4,6,9,\$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf \ + > lexor_keyword.cc || die } src_install() { diff --git a/sci-electronics/kicad-footprints/Manifest b/sci-electronics/kicad-footprints/Manifest index 93fe6567d4d1..234c930dee4a 100644 --- a/sci-electronics/kicad-footprints/Manifest +++ b/sci-electronics/kicad-footprints/Manifest @@ -1,2 +1,2 @@ -DIST kicad-footprints-6.0.9.tar.gz 31723499 BLAKE2B 33856d28a52f8f516c96c38c68aabe3d57c3f7eade5cb654cd0dca15f36263b9860f252468edf0b94f228c2497ef7e862cebfd023e2a166ce1696a89c1cff1e1 SHA512 43436a61fb1be66dc6cd6079e49e7af0f7d374f074874fd008eb45c2b3faee596e102173a274064a536f11c4525f223c9e8c2a33969811414811a226e69affaa -DIST kicad-footprints-7.0.0.tar.bz2 23928107 BLAKE2B 4f4a03b47f208ab431a41e6c20348e7d227a1dc6c24657308ff76cd800923993c2f937dbc737f5bdaa17703b6d1e435eac7b344a8f6462c500917ecffd4195a3 SHA512 fd6d7436838499a8ee1ed36ec23f1c7f85519e7f9641cb0a85556203dd51e7855e549d0cb1afe91c4a4885b62f87d4c765407d366728e6a6fbd64e75ac0ceb89 +DIST kicad-footprints-7.0.1.tar.bz2 23942389 BLAKE2B 06408aaf1a388287dc0929f8f99e70bcab62051da1a4674f48ea264a75fd5780fe355420dafe96fd8548679c508a3860bdc0ba8ef287a3c7b3c6c78c0fdc2975 SHA512 1bc7ffdf089414c40e74a2b8c155e83900d406f46036d0803f115e505e25f7071111acc5bc85456de4c96af26d8a13ca81bd28dca5dfe48e26e5944f4478a688 +DIST kicad-footprints-7.0.7.tar.bz2 24411508 BLAKE2B 1abedfbc3dfab302b5f929b01f2a83e83a4fee6b1d5056bf2c3579068c56807c656dea918f4c37fe030b727d3effbc35063f4f4103c4cfa6071f95c22d507597 SHA512 8af1fb371034bd5b22e0a8a896fa61e4eb0bda5b282759e17872bf4b466f49b39aa56ae068ac3d7d5a7453d9a7d511a81317d3f267a90d2764b2b8282f64aef0 diff --git a/sci-electronics/kicad-footprints/kicad-footprints-6.0.9.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-7.0.1.ebuild index 8a46cc5de857..25c51ef5838b 100644 --- a/sci-electronics/kicad-footprints/kicad-footprints-6.0.9.ebuild +++ b/sci-electronics/kicad-footprints/kicad-footprints-7.0.1.ebuild @@ -12,15 +12,9 @@ if [[ ${PV} == 9999 ]]; then EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git" inherit git-r3 else - MY_PV="${PV/_rc/-rc}" - MY_P="${PN}-${MY_PV}" - SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz" + SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2" - if [[ ${PV} != *_rc* ]] ; then - KEYWORDS="amd64 ~arm64 ~riscv ~x86" - fi - - S="${WORKDIR}/${PN}-${MY_PV}" + KEYWORDS="amd64 ~arm64 ~riscv ~x86" fi LICENSE="CC-BY-SA-4.0" @@ -28,7 +22,7 @@ SLOT="0" IUSE="" DEPEND="" -RDEPEND=">=sci-electronics/kicad-6.0.0" +RDEPEND=">=sci-electronics/kicad-7.0.0" if [[ ${PV} == 9999 ]] ; then # x11-misc-util/macros only required on live ebuilds diff --git a/sci-electronics/kicad-footprints/kicad-footprints-7.0.0.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild index ba02cb94ca78..ba02cb94ca78 100644 --- a/sci-electronics/kicad-footprints/kicad-footprints-7.0.0.ebuild +++ b/sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild diff --git a/sci-electronics/kicad-meta/kicad-meta-6.0.9.ebuild b/sci-electronics/kicad-meta/kicad-meta-7.0.1.ebuild index a9b6381bc4ae..18a9e7a8eeaf 100644 --- a/sci-electronics/kicad-meta/kicad-meta-6.0.9.ebuild +++ b/sci-electronics/kicad-meta/kicad-meta-7.0.1.ebuild @@ -10,9 +10,7 @@ SRC_URI="" LICENSE="metapackage" SLOT="0" -if [[ ${PV} != *_rc* ]] ; then - KEYWORDS="amd64 ~arm64 ~riscv ~x86" -fi +KEYWORDS="amd64 ~arm64 ~riscv ~x86" IUSE="doc minimal" diff --git a/sci-electronics/kicad-meta/kicad-meta-7.0.0.ebuild b/sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild index 9fa1015e5396..9fa1015e5396 100644 --- a/sci-electronics/kicad-meta/kicad-meta-7.0.0.ebuild +++ b/sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild diff --git a/sci-electronics/kicad-packages3d/Manifest b/sci-electronics/kicad-packages3d/Manifest index f576a4d1385b..4e7f197b3585 100644 --- a/sci-electronics/kicad-packages3d/Manifest +++ b/sci-electronics/kicad-packages3d/Manifest @@ -1,2 +1,2 @@ -DIST kicad-packages3d-6.0.9.tar.gz 953182554 BLAKE2B a0f9810d1236c3ef1b0adae3cc2f1d8172fb52add6a9d5666dd32431725a1d3fd0456119ef21b05bd390ec90fce359b46e846f0af063feb4f8d97bc69da57f68 SHA512 fe014b35ce9071ed48d00481d509fba678dc0bea5170f626de9b18c0e0285cb441fcc635197da40af869e35c50104a9a69d991348d6031293ee166b734ba7cc9 -DIST kicad-packages3d-7.0.0.tar.bz2 746772022 BLAKE2B 4975f0bbee80c05b3f29b6eca1cc699cf81433644042cf4c60365e333383e49a06b948291bf725e530ffa66d2232cb44ab31df4ae73c4db46d6d4e66b77b9091 SHA512 b01022907ea63b360bdfef708e24efe1f9aef7ac48e46b3707971d674ae26aa898888e28c316d508d7930291d161d95828dbbaa967175dab70b97bf1606dcedb +DIST kicad-packages3d-7.0.1.tar.bz2 768042873 BLAKE2B 1077e2deabfe75bfbaa9c6770c6bd1c273e7699b1b0bb4b88b6a9e2dd3f3becab583c0f2d143070d01021711548d0c6e8630286d0d63904ef4c09f39f5d2234f SHA512 f634ff5449cf5b9b619d09495b8a38fd58445589d73ba98442b2fa134d18b81e50d72eea37724e1460cafd4b1c4c2bb345a1e453371b9298e7d79bf54629d721 +DIST kicad-packages3d-7.0.7.tar.bz2 772674558 BLAKE2B 9223317ca29e7d09423bc743df93471f4cff65a44113e9946479c9f59ceae86268cad68bf570d08d52e2ad123095ca3e2beb8249297bdb5b0f21c23f65aed9d6 SHA512 ae4d1be2dc9196acbf8e2314886f5639e4b20a922854dfce7a7eceb89cd0497d6649a5d9043870221f585a2fe9c68c2ac4ba4ccf2ede13596e4f89ebb24cc19c diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-6.0.9.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.1.ebuild index 344b60c32007..a1890abc5b75 100644 --- a/sci-electronics/kicad-packages3d/kicad-packages3d-6.0.9.ebuild +++ b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.1.ebuild @@ -12,21 +12,17 @@ if [[ ${PV} == 9999 ]]; then EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git" inherit git-r3 else - MY_PV="${PV/_rc/-rc}" - MY_P="${PN}-${MY_PV}" - SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${MY_PV}/kicad-packages3D-${MY_PV}.tar.gz -> ${P}.tar.gz" - S="${WORKDIR}/${PN/3d/3D}-${MY_PV}" - - if [[ ${PV} != *_rc* ]] ; then - KEYWORDS="amd64 ~arm64 ~riscv ~x86" - fi + SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2" + S="${WORKDIR}/${PN/3d/3D}-${PV}" + + KEYWORDS="amd64 ~arm64 ~riscv ~x86" fi -IUSE="+occ" +IUSE="" LICENSE="CC-BY-SA-4.0" SLOT="0" -RDEPEND=">=sci-electronics/kicad-6.0.0[occ=]" +RDEPEND=">=sci-electronics/kicad-7.0.0" if [[ ${PV} == 9999 ]] ; then # x11-misc-util/macros only required on live ebuilds diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.0.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild index 0814793b5346..0814793b5346 100644 --- a/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.0.ebuild +++ b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild index c5aa25338d99..0814793b5346 100644 --- a/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild +++ b/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild @@ -22,7 +22,7 @@ IUSE="" LICENSE="CC-BY-SA-4.0" SLOT="0" -RDEPEND=">=sci-electronics/kicad-6.0.0" +RDEPEND=">=sci-electronics/kicad-7.0.0" if [[ ${PV} == 9999 ]] ; then # x11-misc-util/macros only required on live ebuilds diff --git a/sci-electronics/kicad-packages3d/metadata.xml b/sci-electronics/kicad-packages3d/metadata.xml index 9a66c2bf0ec4..68380825c653 100644 --- a/sci-electronics/kicad-packages3d/metadata.xml +++ b/sci-electronics/kicad-packages3d/metadata.xml @@ -15,11 +15,6 @@ libs. Each directory directory contains multiple 3D model files, with the following supported file formats: WRL, STEP. </longdescription> - <use> - <flag name="occ"> - Use <pkg>sci-libs/opencascade</pkg> for data exchange - </flag> - </use> <upstream> <remote-id type="gitlab">kicad/libraries/kicad-packages3D</remote-id> </upstream> diff --git a/sci-electronics/kicad-symbols/Manifest b/sci-electronics/kicad-symbols/Manifest index e63451e036a0..260c8494c28f 100644 --- a/sci-electronics/kicad-symbols/Manifest +++ b/sci-electronics/kicad-symbols/Manifest @@ -1,2 +1,2 @@ -DIST kicad-symbols-6.0.9.tar.gz 4854079 BLAKE2B ba50c3062dc01145239d0950072bcaa6b61b2dbcf954fcf6e96e0d06c2c9952bd571c81a0e05dcdff462524c38e8c8807752e7d854eb7684397634414b55b8ab SHA512 2cc44ead8029cd502cc5301b4515aa23275d32068e091a0a4a645f6fd9ed88f2b7d329d9faa7e66cde58cedeb47810c66db8e6f9313c2bbfe18e5a272f82a163 -DIST kicad-symbols-7.0.0.tar.bz2 3012408 BLAKE2B 320cd9e05b9140cd5149651c91b68f69c2c23bda0026cb1cacf09447eda72159afc670b51a733ebfb02d89c61c0b60dbc298c53e1190c28fe4525303ca013615 SHA512 d72f01448a395f58a5ac87bfea33d514dc35749f7a529ee03b2a11ed1cc38331f0baaf69662686cf32ed4e629a3b2baf1a53cbcb9507fb8f2e4ffd3421184580 +DIST kicad-symbols-7.0.1.tar.bz2 3012164 BLAKE2B b6bf419247d67f39e868dc05abdbd60f8b6f677bd730fb8250b6517f2f68f740ccbd63be55e2d7c667c557493b42937ca65a0dd6480250372020f14a0c3eea59 SHA512 63cca3de17af08c78c1bb98d58ccf4825b6fd9318abd6c996e8218fd3d6168acc396c5cb1b73fe26ead0da4a91f0e8040f363ed9ae74169cad4ca7965fdd0890 +DIST kicad-symbols-7.0.7.tar.bz2 3026949 BLAKE2B 9f2db4e1e63333fb1ca1625bc7218ca5163e6557f27978215ebc0c858990be09352e62f473928cdd28624a6543d7c0415536e0d09b54c8cc77d17a18caa3e788 SHA512 f66cb91b8192aee70a921f6f7c83e5b1fe2354ced2b7f97ec693af47cfa0eed261e23bb4774029918a991f5b35cb2a65b0831fee097a92bcc8a1e2c22f85fed8 diff --git a/sci-electronics/kicad-symbols/kicad-symbols-6.0.9.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-7.0.1.ebuild index 34f69ac0a947..96b02687be27 100644 --- a/sci-electronics/kicad-symbols/kicad-symbols-6.0.9.ebuild +++ b/sci-electronics/kicad-symbols/kicad-symbols-7.0.1.ebuild @@ -12,11 +12,9 @@ if [[ ${PV} == 9999 ]]; then EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git" inherit git-r3 else - SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.gz" + SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2" - if [[ ${PV} != *_rc* ]] ; then - KEYWORDS="amd64 ~arm64 ~riscv ~x86" - fi + KEYWORDS="amd64 ~arm64 ~riscv ~x86" fi LICENSE="CC-BY-SA-4.0" @@ -24,7 +22,7 @@ SLOT="0" IUSE="" DEPEND="" -RDEPEND=">=sci-electronics/kicad-6.0.0" +RDEPEND=">=sci-electronics/kicad-7.0.0" if [[ ${PV} == 9999 ]] ; then # x11-misc-util/macros only required on live ebuilds diff --git a/sci-electronics/kicad-symbols/kicad-symbols-7.0.0.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild index 26631a5e5f9f..26631a5e5f9f 100644 --- a/sci-electronics/kicad-symbols/kicad-symbols-7.0.0.ebuild +++ b/sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild diff --git a/sci-electronics/kicad-templates/Manifest b/sci-electronics/kicad-templates/Manifest index d450d416a649..f7c453b8621c 100644 --- a/sci-electronics/kicad-templates/Manifest +++ b/sci-electronics/kicad-templates/Manifest @@ -1,2 +1,2 @@ -DIST kicad-templates-6.0.9.tar.gz 1057613 BLAKE2B c2c0c78093995629216cbcb93c40a05e1494da90a6cb91909e54fcb115fb7d6e10b3015a94200fef61407a6beccdc0f2bea84319531b39562bdf19ccf243a726 SHA512 f5e82599dc8532b2f286d62e650084b4d0470308a2cf447831027a85ea1028587342d51d4005018449f17fcbc9bd9f6ed850d7e3818c88692906790ef8981471 -DIST kicad-templates-7.0.0.tar.bz2 1419891 BLAKE2B 51747088a14a36a12270c18cd60f56e58a89419bf5b28a9b600b02a35606f6c142af80947e1e3f995c86df44e8af2181e012bfd0884cdccc317d3c1d8387eaf5 SHA512 e9ed2570a671e157ba03a6f89a3fa84983d3b48331f5cab6be2100cabe7004d5b83f15a00cec2fadd31dab5b584b2e51569f6425532ade8363075a300a47d86f +DIST kicad-templates-7.0.1.tar.bz2 1419796 BLAKE2B ce6f259e8668eb4ed3541cee1aca44259af2a19142cb5ef1f049b40d7d23fac5e48cf13e3b101798d02f0661230c96bdf8f74a802b7bbb3dec13272a498a7e34 SHA512 5214ce5b48c2340feb3d6ce3d882462a6ea1468c53ce5de30d7b608c026b9d51db38b33375d24b845a8196eacdc2dbe5c28f2f1ff22af4c398724fb6566ec2fe +DIST kicad-templates-7.0.7.tar.bz2 1247513 BLAKE2B 7660829ff7474bb703011542a66bb63db9e011f717e86767eb4b09a4b802d64aa785b0283cae75c195059984a0fa0bf7a83cf5c0287850c3df9dbd40ebc4030a SHA512 dc579c72be647db67aac66bf12abe1fbb6763cf9120d325bcd60f321642b05b8b25354ede1e1c1ed31bc44f486d35c027f131230538120c49aa6f0b0e00ad5b2 diff --git a/sci-electronics/kicad-templates/kicad-templates-6.0.9.ebuild b/sci-electronics/kicad-templates/kicad-templates-7.0.1.ebuild index d8f7f7a3b81b..8e19625960ff 100644 --- a/sci-electronics/kicad-templates/kicad-templates-6.0.9.ebuild +++ b/sci-electronics/kicad-templates/kicad-templates-7.0.1.ebuild @@ -11,18 +11,12 @@ if [[ ${PV} == 9999 ]]; then EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git" inherit git-r3 else - MY_PV="${PV/_rc/-rc}" - MY_P="${PN}-${MY_PV}" - SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz" + SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2" - if [[ ${PV} != *_rc* ]] ; then - KEYWORDS="amd64 ~arm64 ~riscv ~x86" - fi - - S="${WORKDIR}/${PN}-${MY_PV}" + KEYWORDS="amd64 ~arm64 ~riscv ~x86" fi LICENSE="CC-BY-SA-4.0" SLOT="0" -RDEPEND=">=sci-electronics/kicad-6.0.0" +RDEPEND=">=sci-electronics/kicad-7.0.0" diff --git a/sci-electronics/kicad-templates/kicad-templates-7.0.0.ebuild b/sci-electronics/kicad-templates/kicad-templates-7.0.7.ebuild index bffd6445a28e..bffd6445a28e 100644 --- a/sci-electronics/kicad-templates/kicad-templates-7.0.0.ebuild +++ b/sci-electronics/kicad-templates/kicad-templates-7.0.7.ebuild diff --git a/sci-electronics/kicad/Manifest b/sci-electronics/kicad/Manifest index 92a0c48e970f..64881fbc7ca2 100644 --- a/sci-electronics/kicad/Manifest +++ b/sci-electronics/kicad/Manifest @@ -1,2 +1,2 @@ -DIST kicad-6.0.9.tar.gz 45166663 BLAKE2B e4d45c589a8e76d7c121ac4ab7adefc5fd44d4ccbff7140196caec56c309f6e83d47a3ea9cf79f59de57c3bda43b3d32a2bea82c5850c2a7a58a6e1db27a06a7 SHA512 49e6bd3c9f6e0c9839c4fac18dc12dc7ef16183f6fbe8091992e2223c7fb085b3119d46797c0b0cf86fd2813e3c4def9eb1f2adbff1bf072a363f1a501da9354 -DIST kicad-7.0.0.tar.bz2 42583715 BLAKE2B 24fefe73bce6254bb47fdf21e8a82b7752e3f58cb3ea61220f0cf008c6570a4378f15bad54b81cc9257ec223bdb6128ccfd33f356e738b112c7b0e9481257255 SHA512 cb6f4cf6eee9a583d3a622bf8e48e5b604b047df0d742081cff1e5e387cf97cc1cd3f03fb58a17b44c47085634b95eea642bd1a6805e80807dbe0473c8d19d96 +DIST kicad-7.0.1.tar.bz2 42744121 BLAKE2B cb6005be531027e02c5c3fa03be54dff00720aa9c36d12d1e619e041da05e5b4efd048be4e01021cf225a35cd638a162f4b620b65ec117027092a5bf650cb1ec SHA512 6b3f32073a0667c745be54fccf1660cc81b560ad7613eb2355956b48567d7e82ce6926ab04d374fe88dcaa1220357997f5f4621e6d635effcd3d698e45a37516 +DIST kicad-7.0.7.tar.bz2 44055973 BLAKE2B 4169e111b8d2086572aa2979f09a8119dbc9caf34790ec5e5f3e0972d0a2e93dab6a1c814dc35ae40d5962e7ba93bad83d5930e9dba9b9f2e52f307378519714 SHA512 82eff4a16847c657ae3102af7914539bf7404e8856ca8c94557533a3ddd7b40ff4571b351dab48349d503d413cf293880245a97238516add83e79890325f1067 diff --git a/sci-electronics/kicad/files/kicad-6.0.6-unitialized-variable-fix.patch b/sci-electronics/kicad/files/kicad-6.0.6-unitialized-variable-fix.patch deleted file mode 100644 index cce508c1e888..000000000000 --- a/sci-electronics/kicad/files/kicad-6.0.6-unitialized-variable-fix.patch +++ /dev/null @@ -1,12 +0,0 @@ -diff -Naur kicad-6.0.6/common/tool/tool_manager.cpp kicad-6.0.6-new/common/tool/tool_manager.cpp ---- kicad-6.0.6/common/tool/tool_manager.cpp 2022-06-17 18:11:16.000000000 -0700 -+++ kicad-6.0.6-new/common/tool/tool_manager.cpp 2022-06-20 00:17:13.548239632 -0700 -@@ -902,7 +902,7 @@ - m_menuOwner = -1; - - // Restore cursor settings -- for( auto cursorSetting : m_cursorSettings ) -+ for( auto const& cursorSetting : m_cursorSettings ) - { - auto it = m_toolIdIndex.find( cursorSetting.first ); - wxASSERT( it != m_toolIdIndex.end() ); diff --git a/sci-electronics/kicad/files/kicad-6.0.9-gcc-13.patch b/sci-electronics/kicad/files/kicad-6.0.9-gcc-13.patch deleted file mode 100644 index f1047cb9dee5..000000000000 --- a/sci-electronics/kicad/files/kicad-6.0.9-gcc-13.patch +++ /dev/null @@ -1,30 +0,0 @@ -https://bugs.gentoo.org/892499 -https://gitlab.com/kicad/code/kicad/-/commit/8c324f1fc8f7fcdb5e8f494da3d45997001fab4a - -From 8c324f1fc8f7fcdb5e8f494da3d45997001fab4a Mon Sep 17 00:00:00 2001 -From: jean-pierre charras <jp.charras@wanadoo.fr> -Date: Tue, 20 Dec 2022 12:46:19 +0100 -Subject: [PATCH] Fix a missing include. Fixes #13216 - https://gitlab.com/kicad/code/kicad/issues/13216 - ---- a/pcb_calculator/eserie.h -+++ b/pcb_calculator/eserie.h -@@ -2,7 +2,7 @@ - * This program source code file is part of KiCad, a free EDA CAD application. - * - * Copyright (C) 2020 <janvi@veith.net> -- * Copyright (C) 2020-2021 KiCad Developers, see AUTHORS.txt for contributors. -+ * Copyright (C) 2020-2022 KiCad Developers, see AUTHORS.txt for contributors. - * - * This program is free software: you can redistribute it and/or modify it - * under the terms of the GNU General Public License as published by the -@@ -21,6 +21,7 @@ - #include <array> - #include <vector> - #include <string> -+#include <cstdint> - - /** - * E-Values derived from a geometric sequence formula by Charles Renard were already --- -GitLab diff --git a/sci-electronics/kicad/files/kicad-7.0.0-wxwidgets-version.patch b/sci-electronics/kicad/files/kicad-7.0.0-wxwidgets-version.patch deleted file mode 100644 index 9ef12e97b573..000000000000 --- a/sci-electronics/kicad/files/kicad-7.0.0-wxwidgets-version.patch +++ /dev/null @@ -1,95 +0,0 @@ -From b536580119c59fde78e38d8d6388f2540ecb6cf9 Mon Sep 17 00:00:00 2001 -From: Ian McInerney <ian.s.mcinerney@ieee.org> -Date: Mon, 13 Feb 2023 21:24:26 +0000 -Subject: [PATCH] Support subrelease field in wxWidgets cmake detection - -Sometimes wxWidgets increments the subrelease to a non-zero value, and -since wxPython will report a subrelease, we must ensure we can get the -subrelease from the wx library properly, otherwise configure will fail -thinking the library isn't the same version as that used by wxPython. - -Fixes: https://gitlab.com/kicad/code/kicad/-/issues/13887 ---- a/cmake/FindwxWidgets.cmake -+++ b/cmake/FindwxWidgets.cmake -@@ -926,8 +926,17 @@ if(wxWidgets_FOUND) - "\\2" wxWidgets_VERSION_MINOR "${_wx_version_h}" ) - string(REGEX REPLACE "^(.*\n)?#define +wxRELEASE_NUMBER +([0-9]+).*" - "\\2" wxWidgets_VERSION_PATCH "${_wx_version_h}" ) -- set(wxWidgets_VERSION_STRING -- "${wxWidgets_VERSION_MAJOR}.${wxWidgets_VERSION_MINOR}.${wxWidgets_VERSION_PATCH}" ) -+ string(REGEX REPLACE "^(.*\n)?#define +wxSUBRELEASE_NUMBER +([0-9]+).*" -+ "\\2" wxWidgets_VERSION_SUBRELEASE "${_wx_version_h}" ) -+ -+ if( ${wxWidgets_VERSION_SUBRELEASE} GREATER 0 ) -+ set(wxWidgets_VERSION_STRING -+ "${wxWidgets_VERSION_MAJOR}.${wxWidgets_VERSION_MINOR}.${wxWidgets_VERSION_PATCH}.${wxWidgets_VERSION_SUBRELEASE}" ) -+ else() -+ set(wxWidgets_VERSION_STRING -+ "${wxWidgets_VERSION_MAJOR}.${wxWidgets_VERSION_MINOR}.${wxWidgets_VERSION_PATCH}" ) -+ endif() -+ - DBG_MSG("wxWidgets_VERSION_STRING: ${wxWidgets_VERSION_STRING}") - endif() - --- -From 1e8cc6855d6a8fc1f9dfc933224c3a10fb759f9c Mon Sep 17 00:00:00 2001 -From: Ian McInerney <ian.s.mcinerney@ieee.org> -Date: Tue, 14 Feb 2023 00:18:56 +0000 -Subject: [PATCH] Relax wxPython version mismatch check to major.minor - -The previous version check failed when the version was even slightly -different, including on the revision field. Theoretically the ABI of the -wx minor versions in use should be the same, so this might work. On the -other hand, with wxPython it could break as well. YOLO. ---- a/scripting/python_scripting.cpp -+++ b/scripting/python_scripting.cpp -@@ -50,6 +50,7 @@ - #include <kiplatform/environment.h> - - #include <wx/app.h> -+#include <wx/regex.h> - #include <wx/utils.h> - - #include <config.h> -@@ -128,7 +129,39 @@ except: - wxVI.GetMajor(), wxVI.GetMinor(), wxVI.GetMicro() ); - version = version.Mid( idx + 10 ); - -- if( wxVersion.Cmp( version ) != 0 ) -+ int wxPy_major = 0; -+ int wxPy_minor = 0; -+ int wxPy_micro = 0; -+ int wxPy_rev = 0; -+ -+ // Compile a regex to extract the wxPython version -+ wxRegEx re( "([0-9]+)\\.([0-9]+)\\.?([0-9]+)?\\.?([0-9]+)?" ); -+ wxASSERT( re.IsValid() ); -+ -+ if( re.Matches( version ) ) -+ { -+ wxString v = re.GetMatch( version, 1 ); -+ -+ if( !v.IsEmpty() ) -+ v.ToInt( &wxPy_major ); -+ -+ v = re.GetMatch( version, 2 ); -+ -+ if( !v.IsEmpty() ) -+ v.ToInt( &wxPy_minor ); -+ -+ v = re.GetMatch( version, 3 ); -+ -+ if( !v.IsEmpty() ) -+ v.ToInt( &wxPy_micro ); -+ -+ v = re.GetMatch( version, 4 ); -+ -+ if( !v.IsEmpty() ) -+ v.ToInt( &wxPy_rev ); -+ } -+ -+ if( ( wxVI.GetMajor() != wxPy_major ) || ( wxVI.GetMinor() != wxPy_minor ) ) - { - wxString msg = wxT( "The wxPython library was compiled against wxWidgets %s but KiCad is " - "using %s. Python plugins will not be available." ); --- diff --git a/sci-electronics/kicad/files/kicad-scripts-install-fix.patch b/sci-electronics/kicad/files/kicad-scripts-install-fix.patch deleted file mode 100644 index d52a05d3bcf5..000000000000 --- a/sci-electronics/kicad/files/kicad-scripts-install-fix.patch +++ /dev/null @@ -1,12 +0,0 @@ -diff -Naur kicad-6.0.2-orig/CMakeLists.txt kicad-6.0.2/CMakeLists.txt ---- kicad-6.0.2-orig/CMakeLists.txt 2022-02-10 16:29:07.000000000 -0800 -+++ kicad-6.0.2/CMakeLists.txt 2022-02-13 20:09:37.244713966 -0800 -@@ -950,7 +950,7 @@ - ### - if( UNIX AND NOT APPLE ) - install( DIRECTORY scripts -- DESTINATION ${KICAD_DOCS} -+ DESTINATION ${KICAD_BIN}/scripts - COMPONENT resources - PATTERN "*.bat" EXCLUDE - ) diff --git a/sci-electronics/kicad/kicad-7.0.0-r1.ebuild b/sci-electronics/kicad/kicad-7.0.1.ebuild index a6db98a4f37a..1ecc9a04ae5a 100644 --- a/sci-electronics/kicad/kicad-7.0.0-r1.ebuild +++ b/sci-electronics/kicad/kicad-7.0.1.ebuild @@ -3,7 +3,7 @@ EAPI=8 -PYTHON_COMPAT=( python3_{9..10} ) +PYTHON_COMPAT=( python3_{9..11} ) WX_GTK_VER="3.2-gtk3" inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils @@ -21,7 +21,7 @@ else S="${WORKDIR}/${PN}-${MY_PV}" if [[ ${PV} != *_rc* ]] ; then - KEYWORDS="~amd64 ~arm64 ~riscv ~x86" + KEYWORDS="amd64 ~arm64 ~riscv ~x86" fi fi @@ -35,6 +35,7 @@ REQUIRED_USE="${PYTHON_REQUIRED_USE}" # Contains bundled pybind but it's patched for wx # See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424 # Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301 +# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120 COMMON_DEPEND=" dev-db/unixODBC dev-libs/boost:=[context,nls] @@ -46,7 +47,7 @@ COMMON_DEPEND=" >=sci-libs/opencascade-7.3.0:0= >=x11-libs/cairo-1.8.8:= >=x11-libs/pixman-0.30 - x11-libs/wxGTK:${WX_GTK_VER}[X,opengl] + <=x11-libs/wxGTK-3.2.2.1-r2:${WX_GTK_VER}[X,opengl] sys-libs/zlib $(python_gen_cond_dep ' dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}] @@ -75,9 +76,6 @@ fi CHECKREQS_DISK_BUILD="900M" PATCHES=( - # https://bugs.gentoo.org/895188 - "${FILESDIR}"/${PN}-7.0.0-wxwidgets-version.patch - "${FILESDIR}"/${PN}-7.0.0-werror.patch ) @@ -158,7 +156,6 @@ pkg_postinst() { optfeature "Component footprints library" sci-electronics/kicad-footprints optfeature "3D models of components " sci-electronics/kicad-packages3d optfeature "Project templates" sci-electronics/kicad-templates - optfeature "Different languages for GUI" sci-electronics/kicad-i18n optfeature "Extended documentation" app-doc/kicad-doc optfeature "Creating 3D models of components" media-gfx/wings diff --git a/sci-electronics/kicad/kicad-6.0.9.ebuild b/sci-electronics/kicad/kicad-7.0.7.ebuild index acb95eeca5df..7af71d049c15 100644 --- a/sci-electronics/kicad/kicad-6.0.9.ebuild +++ b/sci-electronics/kicad/kicad-7.0.7.ebuild @@ -3,8 +3,8 @@ EAPI=8 -PYTHON_COMPAT=( python3_{9..10} ) -WX_GTK_VER="3.0-gtk3" +PYTHON_COMPAT=( python3_{9..11} ) +WX_GTK_VER="3.2-gtk3" inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils @@ -17,54 +17,53 @@ if [[ ${PV} == 9999 ]]; then else MY_PV="${PV/_rc/-rc}" MY_P="${PN}-${MY_PV}" - SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz" + SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2" S="${WORKDIR}/${PN}-${MY_PV}" if [[ ${PV} != *_rc* ]] ; then - KEYWORDS="amd64 ~arm64 ~riscv ~x86" + KEYWORDS="~amd64 ~arm64 ~riscv ~x86" fi fi # BSD for bundled pybind LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD" SLOT="0" -IUSE="doc examples ngspice nls openmp +occ +pcm" +IUSE="doc examples nls openmp" REQUIRED_USE="${PYTHON_REQUIRED_USE}" # Contains bundled pybind but it's patched for wx # See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424 # Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301 +# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120 COMMON_DEPEND=" - !sci-electronics/kicad-i18n + dev-db/unixODBC dev-libs/boost:=[context,nls] media-libs/freeglut media-libs/glew:0= >=media-libs/glm-0.9.9.1 media-libs/mesa[X(+)] + net-misc/curl + >=sci-libs/opencascade-7.3.0:0= >=x11-libs/cairo-1.8.8:= >=x11-libs/pixman-0.30 - x11-libs/wxGTK:${WX_GTK_VER}[X,opengl] + >sci-electronics/ngspice-27[shared] + sys-libs/zlib + >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl] $(python_gen_cond_dep ' dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}] - dev-python/wxpython:4.0[${PYTHON_USEDEP}] + ~dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}] ') ${PYTHON_DEPS} - ngspice? ( - >sci-electronics/ngspice-27[shared] - ) nls? ( sys-devel/gettext ) - occ? ( - >=sci-libs/opencascade-7.3.0:0= - ) " DEPEND="${COMMON_DEPEND}" RDEPEND="${COMMON_DEPEND} sci-electronics/electronics-menu " -BDEPEND=">=dev-lang/swig-3.0 +BDEPEND=">=dev-lang/swig-4.0 doc? ( app-doc/doxygen )" if [[ ${PV} == 9999 ]] ; then @@ -72,12 +71,10 @@ if [[ ${PV} == 9999 ]] ; then BDEPEND+=" >=x11-misc/util-macros-1.18" fi -CHECKREQS_DISK_BUILD="900M" +CHECKREQS_DISK_BUILD="1500M" PATCHES=( - "${FILESDIR}/${PN}-scripts-install-fix.patch" - "${FILESDIR}/${PN}-6.0.6-unitialized-variable-fix.patch" - "${FILESDIR}/${PN}-6.0.9-gcc-13.patch" + "${FILESDIR}"/${PN}-7.0.0-werror.patch ) pkg_setup() { @@ -103,8 +100,8 @@ src_configure() { -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}" -DKICAD_SCRIPTING_WXPYTHON=ON + -DKICAD_USE_EGL=OFF - # Merged from separate -i18n package, bug #830274 -DKICAD_BUILD_I18N="$(usex nls)" -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)" @@ -113,15 +110,9 @@ src_configure() { -DPYTHON_INCLUDE_DIR="$(python_get_includedir)" -DPYTHON_LIBRARY="$(python_get_library_path)" - -DKICAD_SPICE="$(usex ngspice)" - -DKICAD_PCM="$(usex pcm)" - - -DKICAD_USE_OCC="$(usex occ)" -DKICAD_INSTALL_DEMOS="$(usex examples)" -DCMAKE_SKIP_RPATH="ON" - ) - use occ && mycmakeargs+=( -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade ) @@ -148,10 +139,11 @@ src_install() { cmake_src_install python_optimize + dodoc doxygen/eagle-plugin-notes.txt + if use doc ; then - dodoc uncrustify.cfg - cd Documentation || die - dodoc -r *.txt kicad_doxygen_logo.png notes_about_pcbnew_new_file_format.odt doxygen/. + cd doxygen || die + dodoc -r out/html/. fi } diff --git a/sci-electronics/kicad/kicad-9999.ebuild b/sci-electronics/kicad/kicad-9999.ebuild index 0a613e92f79e..a8534692f833 100644 --- a/sci-electronics/kicad/kicad-9999.ebuild +++ b/sci-electronics/kicad/kicad-9999.ebuild @@ -3,7 +3,7 @@ EAPI=8 -PYTHON_COMPAT=( python3_{9..10} ) +PYTHON_COMPAT=( python3_{9..11} ) WX_GTK_VER="3.2-gtk3" inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils @@ -28,7 +28,7 @@ fi # BSD for bundled pybind LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD" SLOT="0" -IUSE="doc examples +ngspice nls openmp" +IUSE="doc examples nls openmp" REQUIRED_USE="${PYTHON_REQUIRED_USE}" @@ -47,15 +47,13 @@ COMMON_DEPEND=" >=x11-libs/cairo-1.8.8:= >=x11-libs/pixman-0.30 x11-libs/wxGTK:${WX_GTK_VER}[X,opengl] + >sci-electronics/ngspice-27[shared] sys-libs/zlib $(python_gen_cond_dep ' dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}] ~dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}] ') ${PYTHON_DEPS} - ngspice? ( - >sci-electronics/ngspice-27[shared] - ) nls? ( sys-devel/gettext ) @@ -72,7 +70,7 @@ if [[ ${PV} == 9999 ]] ; then BDEPEND+=" >=x11-misc/util-macros-1.18" fi -CHECKREQS_DISK_BUILD="900M" +CHECKREQS_DISK_BUILD="1500M" PATCHES=( "${FILESDIR}"/${PN}-7.0.0-werror.patch @@ -101,7 +99,10 @@ src_configure() { -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}" -DKICAD_SCRIPTING_WXPYTHON=ON - -DKICAD_USE_EGL=ON + # wxWidgets does not support runtime selection of backends (GLX vs EGL), + # if enabled it can break KiCad depending on what wxGTK was compiled + # with, see bug #911120 + -DKICAD_USE_EGL=OFF -DKICAD_BUILD_I18N="$(usex nls)" -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)" @@ -111,8 +112,6 @@ src_configure() { -DPYTHON_INCLUDE_DIR="$(python_get_includedir)" -DPYTHON_LIBRARY="$(python_get_library_path)" - -DKICAD_SPICE="$(usex ngspice)" - -DKICAD_INSTALL_DEMOS="$(usex examples)" -DCMAKE_SKIP_RPATH="ON" @@ -155,7 +154,6 @@ pkg_postinst() { optfeature "Component footprints library" sci-electronics/kicad-footprints optfeature "3D models of components " sci-electronics/kicad-packages3d optfeature "Project templates" sci-electronics/kicad-templates - optfeature "Different languages for GUI" sci-electronics/kicad-i18n optfeature "Extended documentation" app-doc/kicad-doc optfeature "Creating 3D models of components" media-gfx/wings diff --git a/sci-electronics/kicad/metadata.xml b/sci-electronics/kicad/metadata.xml index 36c0a94d2d79..693935b54ebc 100644 --- a/sci-electronics/kicad/metadata.xml +++ b/sci-electronics/kicad/metadata.xml @@ -11,8 +11,6 @@ </maintainer> <use> <flag name="ngspice">Enable circuit simulation</flag> - <flag name="occ">Enable viewing 3D rendering of designs via <pkg>sci-libs/opencascade</pkg></flag> - <flag name="pcm">Enable plugin content manager</flag> </use> <longdescription> Kicad is an open source (GPL) software for the creation of electronic diff --git a/sci-electronics/klayout/Manifest b/sci-electronics/klayout/Manifest index 0a10a45e75f9..328e9c04e415 100644 --- a/sci-electronics/klayout/Manifest +++ b/sci-electronics/klayout/Manifest @@ -1,2 +1 @@ -DIST klayout-0.27.12.tar.gz 57616686 BLAKE2B 18c69c799412554547f9b671c80f097b93b15fb04272c4ea6f06b54783fa97ac0da5f50ea61fbf88e75e5436524c9271ffdb7560911b7b8e130a4a287ba2cf60 SHA512 25bb854b9f265801a9ee13bdead0ae1fa4ce21bd0d018c10b0c89aff72f48d4131e80987750bd47e3996296ced1936fffb4ed02daa5a7bdff5f05ae6e820e067 -DIST klayout-0.28.5.tar.gz 88218961 BLAKE2B 59154bc1cc6596c4deb10b9c59f8957c2fcb8815b8d8ab09e79521a2e281e82d5437a222a48e0f378188b34162cd92229694c58e15ff74b6cde4c2b65df7bfe7 SHA512 ae2f4a08d8939eccba41f2a76ef3e1a97bdd61925329f3d3c314206dfc2783e0db0ffad58cc1357355f0853079987b9c083dde78ae29914b4db8aadc24181082 +DIST klayout-0.28.9.tar.gz 88388706 BLAKE2B 0997bf15aae1dd1e8356fec91ae281511751db0ae1a4bfdfde38d5a04392351a7893206806a0eb7d842f8ee2fd7819b1a86257376d3ba5af11bb81b84836e571 SHA512 dcd882ef84161f2d28195f8e0239fd122f17f9954e5cc146f71bbb6816b9fb723eb3b0299e01fbb293ef7fb7f87c25efbfe09126349ee13f89de68c5bb5f7e93 diff --git a/sci-electronics/klayout/klayout-0.28.5.ebuild b/sci-electronics/klayout/klayout-0.28.5.ebuild deleted file mode 100644 index 8aceae0ea200..000000000000 --- a/sci-electronics/klayout/klayout-0.28.5.ebuild +++ /dev/null @@ -1,91 +0,0 @@ -# Copyright 1999-2021 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=8 - -RUBY_OPTIONAL=no -USE_RUBY="ruby27" -# note: define maximally ONE implementation here - -PYTHON_COMPAT=( python3_{9,10,11} ) - -inherit toolchain-funcs python-single-r1 ruby-ng - -if [[ ${PV} = 9999* ]]; then - EGIT_REPO_URI="https://github.com/klayoutmatthias/${PN}.git" - inherit git-r3 - EGIT_CHECKOUT_DIR=${WORKDIR}/all/${P} -else - SRC_URI="https://www.klayout.org/downloads/source/${P}.tar.gz" - KEYWORDS="~amd64 ~x86" -fi - -DESCRIPTION="Viewer and editor for GDS and OASIS integrated circuit layouts" -HOMEPAGE="https://www.klayout.de/" -LICENSE="GPL-2" -SLOT="0" -IUSE="" -REQUIRED_USE=${PYTHON_REQUIRED_USE} - -RDEPEND=" - dev-qt/designer:5 - dev-qt/qtcore:5 - dev-qt/qtgui:5 - dev-qt/qtmultimedia:5[widgets] - dev-qt/qtnetwork:5[ssl] - dev-qt/qtprintsupport:5 - dev-qt/qtsql:5 - dev-qt/qtsvg:5 - dev-qt/qttest:5 - dev-qt/qtwidgets:5 - dev-qt/qtxml:5 - dev-qt/qtxmlpatterns:5 - sys-libs/zlib - ${PYTHON_DEPS} - $(ruby_implementations_depend) -" -DEPEND="${RDEPEND}" - -pkg_setup() { - python-single-r1_pkg_setup - ruby-ng_pkg_setup -} - -each_ruby_configure() { - tc-export CC CXX AR LD RANLIB - export CFLAGS CXXFLAGS - ./build.sh \ - -expert \ - -dry-run \ - -qmake "$EPREFIX/usr/$(get_libdir)/qt5/bin/qmake" \ - -ruby "${RUBY}" \ - -python "${PYTHON}" \ - -build . \ - -bin "${T}/bin" \ - -rpath "$EPREFIX/usr/$(get_libdir)/klayout" \ - -option "${MAKEOPTS}" \ - -with-qtbinding \ - -without-64bit-coord \ - -qt5 || die "Configuration failed" -} - -each_ruby_compile() { - emake all -} - -each_ruby_install() { - emake install - - cd "${T}/bin" || die - - dodir "/usr/$(get_libdir)/klayout" - mv lib* lay_plugins db_plugins "${ED}/usr/$(get_libdir)/klayout/" || die - - mkdir -p "${D}/$(python_get_sitedir)" || die - mv pymod/* "${D}/$(python_get_sitedir)/" || die - rmdir pymod || die - - dobin * - - python_optimize -} diff --git a/sci-electronics/klayout/klayout-0.27.12.ebuild b/sci-electronics/klayout/klayout-0.28.9.ebuild index 87201edfa6b9..a67a35ae96a7 100644 --- a/sci-electronics/klayout/klayout-0.27.12.ebuild +++ b/sci-electronics/klayout/klayout-0.28.9.ebuild @@ -1,13 +1,13 @@ -# Copyright 1999-2021 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=8 RUBY_OPTIONAL=no -USE_RUBY="ruby27" +USE_RUBY="ruby31" # note: define maximally ONE implementation here -PYTHON_COMPAT=( python3_{9,10,11} ) +PYTHON_COMPAT=( python3_{9,10,11,12} ) inherit toolchain-funcs python-single-r1 ruby-ng diff --git a/sci-electronics/klayout/klayout-9999.ebuild b/sci-electronics/klayout/klayout-9999.ebuild index 8aceae0ea200..a09175915e24 100644 --- a/sci-electronics/klayout/klayout-9999.ebuild +++ b/sci-electronics/klayout/klayout-9999.ebuild @@ -1,13 +1,13 @@ -# Copyright 1999-2021 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=8 RUBY_OPTIONAL=no -USE_RUBY="ruby27" +USE_RUBY="ruby31" # note: define maximally ONE implementation here -PYTHON_COMPAT=( python3_{9,10,11} ) +PYTHON_COMPAT=( python3_{9,10,11,12} ) inherit toolchain-funcs python-single-r1 ruby-ng diff --git a/sci-electronics/klayout/metadata.xml b/sci-electronics/klayout/metadata.xml index d05a4868cfa5..515e8491e641 100644 --- a/sci-electronics/klayout/metadata.xml +++ b/sci-electronics/klayout/metadata.xml @@ -9,4 +9,7 @@ <email>sci-electronics@gentoo.org</email> <name>Gentoo Electronics Project</name> </maintainer> +<upstream> + <remote-id type="github">KLayout/klayout</remote-id> +</upstream> </pkgmetadata> diff --git a/sci-electronics/ktechlab/Manifest b/sci-electronics/ktechlab/Manifest index e9667a6cfa18..4f29c2c1741b 100644 --- a/sci-electronics/ktechlab/Manifest +++ b/sci-electronics/ktechlab/Manifest @@ -1 +1 @@ -DIST ktechlab-0.50.0.tar.xz 2195752 BLAKE2B 213bee84364f8fc99ee6991ca465ce126f7fd16932a9e399947428955156500ce57e2c93170e16698b3d5e64f294ea208bff388c0d8e2ee6551bbe97e785233e SHA512 236be69f18ae21f1dffe1ae3d9e55665b6bdfd443bf6b3ae39c2e370923bae679fe8b1b09dac3d354a61278ca9f85113804a47a95a7f1de996b330f5a4b13a42 +DIST ktechlab-0.51.0.tar.xz 2280352 BLAKE2B 9f7004bf82a6f1c5c2b7a638692eb7ea778a3680ebf73db3caa1947a0ebd62249f1d15f9508852af5cf347907051d8f4757899692a8677a1b76bac12dad45cc7 SHA512 65c71bafb4ea90dbe34860ebefa8c05b393953d690309d61a53fa9f012e14a2544c03c2aeac2c79e700d449ed84d7d691beafdf398348fe02ad1562b326c0c40 diff --git a/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix-connectors-diverging.patch b/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix-connectors-diverging.patch deleted file mode 100644 index 20222c89daab..000000000000 --- a/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix-connectors-diverging.patch +++ /dev/null @@ -1,38 +0,0 @@ -From 1b814b266f1bd25d92b701e071473f2267330933 Mon Sep 17 00:00:00 2001 -From: "Martin T. H. Sandsmark" <martin.sandsmark@kde.org> -Date: Mon, 22 Mar 2021 12:49:26 +0100 -Subject: [PATCH] fix crash when looking for where connectors diverge - ---- - src/electronics/ecnode.cpp | 13 +++++++++++-- - 1 file changed, 11 insertions(+), 2 deletions(-) - -diff --git a/src/electronics/ecnode.cpp b/src/electronics/ecnode.cpp -index 385844c1..28f2a556 100644 ---- a/src/electronics/ecnode.cpp -+++ b/src/electronics/ecnode.cpp -@@ -225,10 +225,18 @@ QPoint ECNode::findConnectorDivergePoint(bool *found) - if (!gotP1 || !gotP2 ) - return QPoint(0,0); - -- unsigned maxLength = p1.size() > p2.size() ? p1.size() : p2.size(); -+ // If they are differing lengths, return the end of the shortest -+ if (p1.size() < p2.size()) { -+ *found = true; -+ return p1.last(); -+ } else if (p2.size() < p1.size()) { -+ *found = true; -+ return p2.last(); -+ } -+ -+ Q_ASSERT(p1.size() == p2.size()); - -- for ( unsigned i = 1; i < maxLength; ++i ) -- { -+ for (unsigned i = 1; i < qMin(p1.size(), p2.size()); ++i) { - if ( p1[i] != p2[i] ) { - *found = true; - return p1[i-1]; --- -GitLab - diff --git a/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix-propertyeditor.patch b/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix-propertyeditor.patch deleted file mode 100644 index ec970b64ad81..000000000000 --- a/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix-propertyeditor.patch +++ /dev/null @@ -1,52 +0,0 @@ -From 730a5492e4780504a80db1a27dc307d8f61b4696 Mon Sep 17 00:00:00 2001 -From: Zoltan Padrah <zoltan_padrah@users.sf.net> -Date: Fri, 18 Dec 2020 20:47:55 +0200 -Subject: [PATCH] property editor: fix crash when changing a property and - clicking circuit - -apparently Ubuntu 18.04 is affected (Qt 5.9.5) -and Ubuntu 20.04 is not (Qt 5.12.8). - -to reproduce: - -1. place and select a resistor -2. in the property editor click on any of its properties, - in order to start editing it -3. click on the circuit, to stop editing of the properties -3.1. Expected: property value is kept, program continues -3.2. Actually: crash with the same stack trace from above - -Should fix issue on GitHub: -https://github.com/ktechlab/ktechlab/issues/60 ---- - src/gui/itemeditor/propertyeditor.cpp | 13 ++++++++++++- - 1 file changed, 12 insertions(+), 1 deletion(-) - -diff --git a/src/gui/itemeditor/propertyeditor.cpp b/src/gui/itemeditor/propertyeditor.cpp -index 88b86842..60821177 100644 ---- a/src/gui/itemeditor/propertyeditor.cpp -+++ b/src/gui/itemeditor/propertyeditor.cpp -@@ -476,8 +476,19 @@ void PropertyEditor::showDefaultsButton(bool show) - - void PropertyEditor::updateDefaultsButton() - { -- if (!m_editItem) -+ QTableWidgetItem *currItem = currentItem(); -+ if (!currItem) { -+ m_editItem = nullptr; - return; -+ } -+ m_editItem = dynamic_cast<PropertyEditorItem*>(currItem); -+ if (!m_editItem) { -+ qWarning() << "failed to cast current item to PropertyEditorItem, " << currItem; -+ return; -+ } -+ qDebug() << "currentItem=" << currentItem(); -+ qDebug() << "m_editItem=" << m_editItem; -+ qDebug() << "m_editItem->property=" << m_editItem->property(); - showDefaultsButton( m_editItem->property()->changed() ); - repaint(); // m_editItem->repaint(); - } --- -GitLab - diff --git a/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix.patch b/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix.patch deleted file mode 100644 index c72fdd60021b..000000000000 --- a/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix.patch +++ /dev/null @@ -1,26 +0,0 @@ -From e0bb9ff940f6eda544342c499998cf6142258629 Mon Sep 17 00:00:00 2001 -From: "Martin T. H. Sandsmark" <martin.sandsmark@kde.org> -Date: Sun, 20 Dec 2020 14:43:31 +0100 -Subject: [PATCH] fix odd crash - ---- - src/nodegroup.cpp | 2 +- - 1 file changed, 1 insertion(+), 1 deletion(-) - -diff --git a/src/nodegroup.cpp b/src/nodegroup.cpp -index f4cfa2b4..94430409 100644 ---- a/src/nodegroup.cpp -+++ b/src/nodegroup.cpp -@@ -142,8 +142,7 @@ void NodeGroup::updateRoutes() - Node *next = (routeIt == routeEnd) ? n2 : (Node *)*(routeIt++); - removeRoutedNodes(¤tList, prev, next); - QPointList pointList = *it; -- if ( prev != n1 ) -- { -+ if (!pointList.isEmpty() && prev != n1) { - QPoint first = pointList.first(); - prev->moveBy( first.x() - prev->x(), first.y() - prev->y() ); - } --- -GitLab - diff --git a/sci-electronics/ktechlab/files/ktechlab-0.50.0-deps.patch b/sci-electronics/ktechlab/files/ktechlab-0.50.0-deps.patch deleted file mode 100644 index 67ded56c9594..000000000000 --- a/sci-electronics/ktechlab/files/ktechlab-0.50.0-deps.patch +++ /dev/null @@ -1,317 +0,0 @@ -From 76764e7f7b64d36fc4f650516838662b406aa8ac Mon Sep 17 00:00:00 2001 -From: Pino Toscano <pino@kde.org> -Date: Mon, 2 Nov 2020 18:53:22 +0100 -Subject: [PATCH 1/4] cmake: stop requiring the Solid framework - -It is not used. - -(cherry picked from commit aaf83474c8a145ad67ed03074dd4033f5a12c2ab) ---- - CMakeLists.txt | 1 - - README | 2 +- - 2 files changed, 1 insertion(+), 2 deletions(-) - -diff --git a/CMakeLists.txt b/CMakeLists.txt -index 15efb196..73e40695 100644 ---- a/CMakeLists.txt -+++ b/CMakeLists.txt -@@ -41,7 +41,6 @@ find_package(KF5 REQUIRED COMPONENTS - Parts - TextEditor - TextWidgets -- Solid - WidgetsAddons - WindowSystem - XmlGui -diff --git a/README b/README -index 940e2b3b..9f91740d 100644 ---- a/README -+++ b/README -@@ -122,7 +122,7 @@ Required dependencies - - Extra Cmake Modules (ECM) - - KDE Frameworks 5 libraries -dev (at the time of writing: - Config CoreAddons DocTools IconThemes I18n KDELibs4Support -- KHtml KIO Parts TextEditor TextWidgets Solid WidgetsAddons -+ KHtml KIO Parts TextEditor TextWidgets WidgetsAddons - WindowSystem XmlGui) - - Optional dependencies --- -2.29.2 - - -From 672dc6a1d4b8d1fd8f44b64779a43f0e4b65e946 Mon Sep 17 00:00:00 2001 -From: Pino Toscano <pino@kde.org> -Date: Mon, 2 Nov 2020 13:10:45 +0100 -Subject: [PATCH 2/4] cmake: build test_ktechlab only if testing is enabled - -The test_ktechlab static library basically builds almost all the -ktechlab sources, resulting in a "double build" in case testing is -enabled. Considering that is used only by test binaries built only -when testing is enabled, then limit its build accordingly. - -(cherry picked from commit f3a754ddcbc8a086ca48920bd33e96082cef699c) ---- - src/CMakeLists.txt | 26 +++++++++++++++----------- - 1 file changed, 15 insertions(+), 11 deletions(-) - -diff --git a/src/CMakeLists.txt b/src/CMakeLists.txt -index e84f737d..1a9af964 100644 ---- a/src/CMakeLists.txt -+++ b/src/CMakeLists.txt -@@ -379,19 +379,23 @@ install(TARGETS ktechlab ${INSTALL_TARGETS_DEFAULT_ARGS}) - - # for helping testing - --add_library(test_ktechlab STATIC ${ktechlab_SRCS}) -+if(BUILD_TESTING) - --target_link_libraries(test_ktechlab -- KF5::TextEditor -- KF5::IconThemes -- KF5::Parts -- KF5::KHtml -- KF5::WidgetsAddons -- KF5::WindowSystem -+ add_library(test_ktechlab STATIC ${ktechlab_SRCS}) - -- Qt5::Widgets -- Qt5::PrintSupport --) -+ target_link_libraries(test_ktechlab -+ KF5::TextEditor -+ KF5::IconThemes -+ KF5::Parts -+ KF5::KHtml -+ KF5::WidgetsAddons -+ KF5::WindowSystem -+ -+ Qt5::Widgets -+ Qt5::PrintSupport -+ ) -+ -+endif() - - ########### install files ############### - --- -2.29.2 - - -From 1d416ac6e3191eefca0f7e98487ae912d8bafe23 Mon Sep 17 00:00:00 2001 -From: Pino Toscano <pino@kde.org> -Date: Wed, 4 Nov 2020 13:13:44 +0100 -Subject: [PATCH 3/4] cmake: explicitly require and link to KCompletion - -It is already used (because of KLineEdit), so make sure to explicitly -use it. - -(cherry picked from commit 852f94f4e6f3cb8d4e4ec60c2c77dfd5ae4b6fc0) ---- - CMakeLists.txt | 1 + - src/CMakeLists.txt | 2 ++ - 2 files changed, 3 insertions(+) - -diff --git a/CMakeLists.txt b/CMakeLists.txt -index 73e40695..45442519 100644 ---- a/CMakeLists.txt -+++ b/CMakeLists.txt -@@ -31,6 +31,7 @@ find_package(Qt5 CONFIG REQUIRED COMPONENTS - ) - - find_package(KF5 REQUIRED COMPONENTS -+ Completion - Config - CoreAddons - DocTools -diff --git a/src/CMakeLists.txt b/src/CMakeLists.txt -index 1a9af964..ac6e487a 100644 ---- a/src/CMakeLists.txt -+++ b/src/CMakeLists.txt -@@ -343,6 +343,7 @@ target_link_libraries( ktechlab - #itemeditor math - KF5::TextEditor - KF5::Parts -+ KF5::Completion - KF5::ConfigCore - KF5::ConfigGui - KF5::CoreAddons -@@ -390,6 +391,7 @@ if(BUILD_TESTING) - KF5::KHtml - KF5::WidgetsAddons - KF5::WindowSystem -+ KF5::Completion - - Qt5::Widgets - Qt5::PrintSupport --- -2.29.2 - - -From 56fb8dde63c19f6c4bc0decda879843e552fad85 Mon Sep 17 00:00:00 2001 -From: "Martin T. H. Sandsmark" <martin.sandsmark@kde.org> -Date: Tue, 22 Dec 2020 11:46:54 +0100 -Subject: [PATCH 4/4] replace khtml with qtextbrowser - -Signed-off-by: Andreas Sturmlechner <asturm@gentoo.org> ---- - CMakeLists.txt | 1 - - src/CMakeLists.txt | 2 -- - src/gui/contexthelp.cpp | 33 +++++++++++------------------- - src/gui/contexthelp.h | 6 ++---- - tests/tests_app/CMakeLists.txt | 1 - - tests/tests_compile/CMakeLists.txt | 1 - - 6 files changed, 14 insertions(+), 30 deletions(-) - -diff --git a/CMakeLists.txt b/CMakeLists.txt -index 45442519..5adaec45 100644 ---- a/CMakeLists.txt -+++ b/CMakeLists.txt -@@ -37,7 +37,6 @@ find_package(KF5 REQUIRED COMPONENTS - DocTools - IconThemes - I18n -- KHtml - KIO - Parts - TextEditor -diff --git a/src/CMakeLists.txt b/src/CMakeLists.txt -index ac6e487a..e09d9070 100644 ---- a/src/CMakeLists.txt -+++ b/src/CMakeLists.txt -@@ -349,7 +349,6 @@ target_link_libraries( ktechlab - KF5::CoreAddons - KF5::IconThemes - KF5::KIOCore -- KF5::KHtml - KF5::XmlGui - KF5::WidgetsAddons - KF5::WindowSystem -@@ -388,7 +387,6 @@ if(BUILD_TESTING) - KF5::TextEditor - KF5::IconThemes - KF5::Parts -- KF5::KHtml - KF5::WidgetsAddons - KF5::WindowSystem - KF5::Completion -diff --git a/src/gui/contexthelp.cpp b/src/gui/contexthelp.cpp -index 567c0c3d..cce84617 100644 ---- a/src/gui/contexthelp.cpp -+++ b/src/gui/contexthelp.cpp -@@ -20,8 +20,6 @@ - - #include <KParts/ReadWritePart> - #include <KParts/BrowserExtension> --#include <KHTMLView> --#include <KHTMLPart> - #include <KIO/Global> - #include <KIconLoader> - #include <KLocalizedString> -@@ -43,6 +41,7 @@ - // #include <q3widgetstack.h> - #include <QMimeData> - #include <QStandardPaths> -+#include <QTextBrowser> - - #include <cassert> - -@@ -81,15 +80,13 @@ ContextHelp::ContextHelp( KateMDI::ToolView * parent ) - m_pNameLabel->setFont( font ); - m_pNameLabel->setTextFormat( Qt::RichText ); - -- m_pBrowser = new KHTMLPart( m_pWidgetStack->widget( 0 ) ); -- m_pBrowserView = m_pBrowser->view(); -+ m_pBrowserView = new QTextBrowser; -+ m_pBrowserView->setOpenLinks(false); -+ - m_pBrowserView->setFocusPolicy( Qt::NoFocus ); - m_pBrowserLayout->addWidget( m_pBrowserView ); -- connect(m_pBrowser->browserExtension(), &KParts::BrowserExtension::openUrlRequest, -- this, &ContextHelp::openURL); - -- // Adjust appearance of browser -- m_pBrowserView->setMarginWidth( 4 ); -+ connect(m_pBrowserView, &QTextBrowser::anchorClicked, this, &ContextHelp::openURL); - - m_pEditor = new RichTextEditor( m_pWidgetStack->widget( 1 ), "ContextHelpEditor" ); - m_pTopLayout->addWidget( m_pEditor ); -@@ -280,20 +277,14 @@ void ContextHelp::setContextHelp( QString name, QString help ) - addLinkTypeAppearances( & help ); - //END modify help string as appropriate - -- // HACK Adjust top spacing according to whether the item description uses <p>. -- // This is because the help editor uses paragraphs, but old item help stored -- // in the items just uses <br> -- QFont f; -- int fontPixelSize = QFontInfo( f ).pixelSize(); -- if ( help.contains( "<p>" ) ) -- m_pBrowserView->setMarginHeight( 3-fontPixelSize ); -- else -- m_pBrowserView->setMarginHeight( 3 ); -- - m_pNameLabel->setText( name ); -- m_pBrowser->begin( QUrl::fromLocalFile( itemLibrary()->itemDescriptionsDirectory() ) ); -- m_pBrowser->write( help ); -- m_pBrowser->end(); -+ m_pBrowserView->setSearchPaths({itemLibrary()->itemDescriptionsDirectory()}); -+ m_pBrowserView->clear(); -+ if (help.startsWith("<html>")) { -+ m_pBrowserView->insertHtml(help); -+ } else { -+ m_pBrowserView->insertPlainText(help); -+ } - } - - -diff --git a/src/gui/contexthelp.h b/src/gui/contexthelp.h -index fe992deb..7190ea81 100644 ---- a/src/gui/contexthelp.h -+++ b/src/gui/contexthelp.h -@@ -20,8 +20,7 @@ class Item; - class ContextHelp; - class RichTextEditor; - --class KHTMLPart; --class KHTMLView; -+class QTextBRowser; - class QUrl; - class QLabel; - class QTextBrowser; -@@ -123,8 +122,7 @@ class ContextHelp : public QWidget, public Ui::ContextHelpWidget - bool isEditChanged(); - QString m_currentLanguage; - QString m_lastItemType; -- KHTMLPart * m_pBrowser; -- KHTMLView * m_pBrowserView; -+ QTextBrowser *m_pBrowserView; - RichTextEditor * m_pEditor; - - private slots: -diff --git a/tests/tests_app/CMakeLists.txt b/tests/tests_app/CMakeLists.txt -index b0a505d4..c93137a4 100644 ---- a/tests/tests_app/CMakeLists.txt -+++ b/tests/tests_app/CMakeLists.txt -@@ -36,7 +36,6 @@ target_link_libraries( tests_app - KF5::ConfigCore - KF5::ConfigGui - KF5::KIOCore -- KF5::KHtml - KF5::CoreAddons - KF5::XmlGui - KF5::TextEditor -diff --git a/tests/tests_compile/CMakeLists.txt b/tests/tests_compile/CMakeLists.txt -index 424692be..bec8b864 100644 ---- a/tests/tests_compile/CMakeLists.txt -+++ b/tests/tests_compile/CMakeLists.txt -@@ -37,7 +37,6 @@ target_link_libraries( test_compile - KF5::ConfigCore - KF5::ConfigGui - KF5::KIOCore -- KF5::KHtml - KF5::CoreAddons - KF5::XmlGui - KF5::TextEditor --- -2.29.2 - diff --git a/sci-electronics/ktechlab/ktechlab-0.50.0-r2.ebuild b/sci-electronics/ktechlab/ktechlab-0.51.0.ebuild index 6359c002ea9a..f2ad43fcf17f 100644 --- a/sci-electronics/ktechlab/ktechlab-0.50.0-r2.ebuild +++ b/sci-electronics/ktechlab/ktechlab-0.51.0.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2021 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=8 @@ -7,8 +7,8 @@ ECM_EXAMPLES="true" ECM_HANDBOOK="forceoptional" ECM_TEST="true" KDE_ORG_CATEGORY="sdk" -KFMIN=5.74.0 -QTMIN=5.15.1 +KFMIN=5.82.0 +QTMIN=5.15.5 inherit ecm kde.org DESCRIPTION="IDE for microcontrollers and electronics" @@ -24,6 +24,7 @@ DEPEND=" >=dev-qt/qtdbus-${QTMIN}:5 >=dev-qt/qtgui-${QTMIN}:5 >=dev-qt/qtprintsupport-${QTMIN}:5 + >=dev-qt/qtserialport-${QTMIN}:5 >=dev-qt/qtwidgets-${QTMIN}:5 >=dev-qt/qtxml-${QTMIN}:5 >=kde-frameworks/kcompletion-${KFMIN}:5 @@ -43,8 +44,3 @@ DEPEND=" gpsim? ( dev-embedded/gpsim ) " RDEPEND="${DEPEND}" - -PATCHES=( - "${FILESDIR}"/${P}-deps.patch - "${FILESDIR}"/${P}-crashfix{-propertyeditor,-connectors-diverging,}.patch -) diff --git a/sci-electronics/labone/Manifest b/sci-electronics/labone/Manifest index 0c19990b3df3..3eca86897a17 100644 --- a/sci-electronics/labone/Manifest +++ b/sci-electronics/labone/Manifest @@ -1 +1,2 @@ DIST LabOneLinux64-21.08.20515.tar.gz 399058267 BLAKE2B 624c4dc4a01edb69f9b36a435744d10b3638c91c7ac0c1e9765cf913e605fe32d1935fa131d650f38a5b713df916d92b985ac5f6489b031bba9b6ec8a1370d4a SHA512 f229a885ea91cc518c4819ad76a928829eb1699603ba48fb745d4a99faca116b100831c17a48243922912c68de27b7938387677ffa2e996a122f36c33d0a205c +DIST LabOneLinux64-23.02.42414.tar.gz 509402101 BLAKE2B 3bd083830b6dd286ba58227fcfaaed9ec3f7c7ceae8c3eb6b92976bb6b13b9a55389a67da4c71897b4a38200b2e833b4f197e738fff17281c3d0f5c7b3f65226 SHA512 5b4dd91f37b2c3212e9c93e7492756e8534f23d85a12af33cae7ce8150fa182286f37e2d4f3ca7369cb13613b24146e1ba0bb5d889db37634e561b0f921be58a diff --git a/sci-electronics/labone/labone-21.08.20515-r1.ebuild b/sci-electronics/labone/labone-21.08.20515-r2.ebuild index 0c0c263143ff..679237f6487e 100644 --- a/sci-electronics/labone/labone-21.08.20515-r1.ebuild +++ b/sci-electronics/labone/labone-21.08.20515-r2.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2021 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=8 @@ -83,3 +83,13 @@ src_install() { udev_dorules Installer/udev/55-zhinst.rules } + +pkg_postinst() { + xdg_pkg_postinst + udev_reload +} + +pkg_postrm() { + xdg_pkg_postrm + udev_reload +} diff --git a/sci-electronics/labone/labone-23.02.42414.ebuild b/sci-electronics/labone/labone-23.02.42414.ebuild new file mode 100644 index 000000000000..cecf87806ce5 --- /dev/null +++ b/sci-electronics/labone/labone-23.02.42414.ebuild @@ -0,0 +1,97 @@ +# Copyright 1999-2023 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit xdg desktop systemd udev + +DESCRIPTION="Platform independent instrument control for Zurich Instruments devices" +HOMEPAGE="https://www.zhinst.com/labone" + +# Seriously... +SRC_URI="https://pub-a579c9a7a5ee41a2ba57327584974c85.r2.dev/${PV}/LabOneLinux64-${PV}.tar.gz" + +LICENSE="zi-labone" +SLOT="0" +KEYWORDS="-* ~amd64" +RESTRICT="mirror bindist" +IUSE="minimal" + +QA_PREBUILT="*" + +RDEPEND="" + +S=${WORKDIR}/LabOneLinux64-${PV} + +src_install() { + local application_directory=/opt/zi + local installation_directory="${application_directory}/LabOne64-${PV}" + + if ! use minimal ; then + + # the applications + + dodir ${installation_directory} + for dir in API DataServer Firmware Documentation WebServer ; do + mv "$dir" "${D}${installation_directory}/" || die + done + + cp "release_notes_$(ver_cut 1-2).html" "${D}${installation_directory}/" || die + + dosym ../..${installation_directory}/DataServer/ziServer /opt/bin/ziServer + dosym ../..${installation_directory}/DataServer/ziDataServer /opt/bin/ziDataServer + + # the services + + # LabOne comes with systemd support. + + local service + for service in labone-data-server hf2-data-server ; do + sed -e 's:/usr/local/bin/:/opt/bin/:g' -i Installer/systemd/${service}.service || die + systemd_dounit Installer/systemd/${service}.service + done + + # For OpenRC we need to do our own thing... + + for service in labone-data-server hf2-data-server ; do + doinitd "${FILESDIR}/${service}" + doconfd "${FILESDIR}/${service}.conf" + done + + echo "#!/bin/bash" > "${T}/startziWebServer" || die + echo "${installation_directory}/WebServer/ziWebServer -r ${installation_directory}/WebServer/html --ip 127.0.0.1 --server-port 8004 -a 1" '$@ &' >> "${T}/startziWebServer" || die + chmod 755 "${T}/startziWebServer" || die + exeinto /opt/bin + doexe "${T}/startziWebServer" + elog For security reasons the startziWebServer script listens on the localhost interface only. + + newicon "${D}${installation_directory}/WebServer/html/images/favicons/firefox_app_128x128.png" zi-labone.png + + make_desktop_entry /opt/bin/startziWebServer "ZI LabOne" zi-labone "Science;Physics;Engineering" + + keepdir /var/log/labone + fowners nobody /var/log/labone + else + + insinto "${installation_directory}/API/C/lib" + doins API/C/lib/*.so + insinto "${installation_directory}/API/C/include" + doins API/C/include/*.h + + fi + + dosym "../..${installation_directory}/API/C/include/ziAPI.h" "usr/include/ziAPI.h" + dosym "../..${installation_directory}/API/C/lib/libziAPI-linux64.so" "usr/$(get_libdir)/libziAPI-linux64.so" + + udev_dorules Installer/udev/55-zhinst.rules +} + +pkg_postinst() { + xdg_pkg_postinst + udev_reload +} + +pkg_postrm() { + xdg_pkg_postrm + udev_reload +} diff --git a/sci-electronics/magic/magic-8.3.232-r1.ebuild b/sci-electronics/magic/magic-8.3.232-r1.ebuild index d3876aa9ad6e..0dff7656a79f 100644 --- a/sci-electronics/magic/magic-8.3.232-r1.ebuild +++ b/sci-electronics/magic/magic-8.3.232-r1.ebuild @@ -13,7 +13,7 @@ SRC_URI="http://www.opencircuitdesign.com/${PN}/archive/${P}.tgz LICENSE="HPND GPL-2+" SLOT="0" -KEYWORDS="amd64 ~ppc x86" +KEYWORDS="amd64 ~ppc ~x86" IUSE="cairo debug opengl" RDEPEND="sys-libs/ncurses:0= diff --git a/sci-electronics/magic/magic-8.3.365.ebuild b/sci-electronics/magic/magic-8.3.365.ebuild index feb879ad8924..295ffbfb144e 100644 --- a/sci-electronics/magic/magic-8.3.365.ebuild +++ b/sci-electronics/magic/magic-8.3.365.ebuild @@ -17,7 +17,7 @@ SRC_URI="http://www.opencircuitdesign.com/${PN}/archive/${P}.tgz LICENSE="HPND GPL-2+" SLOT="0" -KEYWORDS="~amd64 ~ppc ~x86" +KEYWORDS="amd64 ~ppc ~x86" IUSE="cairo debug opengl" # https://bugs.gentoo.org/887691 diff --git a/sci-electronics/ngspice/Manifest b/sci-electronics/ngspice/Manifest index e926f3309624..f93ca63dcb21 100644 --- a/sci-electronics/ngspice/Manifest +++ b/sci-electronics/ngspice/Manifest @@ -1,8 +1,4 @@ -DIST ngspice-31-manual.pdf 2149244 BLAKE2B 155f7299cbb6d7c7953d8488e11f0bffc3c18097f02194c491174a9af387cda9e87cb79b13a37f402616386e7e82230eb4d41909fe37d900792e48f2f49c71dd SHA512 3322df92791718979a275f2640f82c3417f394a76d27ebf06b46f29a40a1ee3ef57c65a75a7ba67e697b55bd435f81e9ee61c78532f991a2dd6844b4f325eb55 -DIST ngspice-31.tar.gz 7250057 BLAKE2B dabc8e1084eba957d6dad06e74f878b51e97dc8bc49b04ee612a6f9eaadde7302450c9ab93e943c4af42197b6bbdca7cae534464ccd2fcd4869b8a48b6f3140d SHA512 448024f398d267911d5d2a48da03eb0d87ba1133ce39d5132bd318dde8ad359d19c7f85f6b4ec88c08b081c9140f7b931334d84173019a22aa2ac98482bd7d5d -DIST ngspice-34-manual.pdf 2311221 BLAKE2B e8863bab8173980a825970b90b685d3d5a59768a2110d00dc3fb3dbd504cd94390b13bf3ac8821ca22561cb390c0d7331d8eaa8e47020592b3526895f6d398d0 SHA512 b53f9161b793223015a307ba607add4e540ad22f252c2f6e3c6deedfc3fde173ec290bbc4939d4e419321cad6a68218f6a9c9b4c7620ee01da86eabfc22e5b7e -DIST ngspice-34.tar.gz 7800546 BLAKE2B a07a7266225b8c4e478e3240718aa1fce9a77003d58477a30a6178368e7826f288007f9111797ca1c426486911d4bedd847bdf0daa69232e59333304468a4fc3 SHA512 5e90727f3f6b8675b83f71e1961d33cd498081a7f3bea5d081521f12ecb3979775159f083f84a5856233529505262c399f75d305758af51894a1245603476cf8 -DIST ngspice-35-manual.pdf 2347261 BLAKE2B 617462615525e5335f5795e625578324d2b173a8d69438e43c4007177e7ca0fdf8d37b1553a4c836a352523c310bbf23ef492a01ac0a7541ca8ac0794b588a66 SHA512 df3239c4798746534d12a489d80056d45d9f3cda60336aaeb2dd541526340715a180780b0e2ced1857e9c5cbe716c4b3f0c496598f8a3e8aa36a8cd1b6a022c7 -DIST ngspice-35.tar.gz 8050668 BLAKE2B d67475b55e1e14974a78451175a08ec91be24708030e0da8fa6811b7df880c8082741ec08d92c314c53480271cc074e35ad0eca27a3b7601e33fb240c834e530 SHA512 2f9b0f951e3ca8d52692beadb895b352311f67b8760f99d0e2f4718fce4b497dd68e2b933029eeacb4ed57551e959bc6e3747e64feb4722a4f841e734f5a664b DIST ngspice-36-manual.pdf 2397103 BLAKE2B 09dbfe4101b8e1752509caa37ba3a323da7d7391488c3245b3f614114d1666ee32ea1391ad4f39772ed950e270f028b7513115e0c13fde052bfdb5674f021b3b SHA512 bd31f99f7ec0acd2bdd24f008e22cb953bba98fd5cc1164ded0bdde3397bfffa0b0cae25dfeae6cf0e1dadd23c23faa5588010069b62392438296451347a5134 DIST ngspice-36.tar.gz 8450071 BLAKE2B 51fa2c5998e96a05deb3764f56659ed0970d6362fcbec7367b04a5fbe76fc7dadb08e0d36b660b0e1f8e525079bedf71305877ab2de8e2ab2773c891808fb5a6 SHA512 d862097f465e92986e8d6644374d43249eebee0fb6d79b1404dbb7c11d14a058dfedd4bdb61baf5aa1ffd1d2e71167440db73eb417d4b1249a0a7b83bd39efc3 +DIST ngspice-40-manual.pdf 2462604 BLAKE2B a0d680cd76f8acb1be18e94953d70784aaf79e1bcc4bc3995a1221d81e27c13e577d182e7cbacb8430a068db7d86681f22ec4f3537b5704faacb8e982588617f SHA512 1a5c7828aa9a4554052fb01145641415d8a4cbd50db9885d5d937e1b6f50c7c541dfeeabf2efd6ea862036b4d74ce8265bdac1cfad0fc635f28651a0d397bfc5 +DIST ngspice-40.tar.gz 9431981 BLAKE2B e45d5d7a9e8d9d3fdae4eb076090a588680055a74cc24ba9ca3d93a7c42b95ee29073101d5791f8069cb27b3049aa1a451476f1df6460477fb156336602678fd SHA512 dab422cc8677e6f4bb92e06be0ce695a53d3e9abbe533c7bb91540d55d2a13514b0b166c8ccafcc17699799a846a35e260dddc400664c6e1137e1b18504e9954 diff --git a/sci-electronics/ngspice/ngspice-31-r1.ebuild b/sci-electronics/ngspice/ngspice-31-r1.ebuild deleted file mode 100644 index 603365b18c66..000000000000 --- a/sci-electronics/ngspice/ngspice-31-r1.ebuild +++ /dev/null @@ -1,202 +0,0 @@ -# Copyright 1999-2022 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=7 - -inherit autotools multibuild toolchain-funcs virtualx - -DESCRIPTION="The Next Generation Spice (Electronic Circuit Simulator)" -SRC_URI="mirror://sourceforge/ngspice/${P}.tar.gz - doc? ( mirror://sourceforge/ngspice/${P}-manual.pdf )" -HOMEPAGE="http://ngspice.sourceforge.net" -LICENSE="BSD GPL-2" - -SLOT="0" -IUSE="X debug deprecated doc examples fftw openmp +readline +shared tcl" -RESTRICT="!test? ( test )" -KEYWORDS="~amd64 ~arm64 ~ppc ~sparc ~x86 ~x64-macos" - -DEPEND="sys-libs/ncurses:0= - X? ( x11-libs/libXaw - x11-libs/libXt - x11-libs/libX11 ) - fftw? ( sci-libs/fftw:3.0 ) - readline? ( sys-libs/readline:0= ) - tcl? ( dev-lang/tcl:0 - dev-tcltk/blt )" -RDEPEND="${DEPEND} - X? ( sci-visualization/xgraph )" - -DOCS=( - ANALYSES - AUTHORS - BUGS - ChangeLog - DEVICES - FAQ - NEWS - README - README.vdmos - Stuarts_Poly_Notes -) - -pkg_pretend() { - [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp -} - -pkg_setup() { - [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp - - MULTIBUILD_VARIANTS=( "binaries" ) - use shared && MULTIBUILD_VARIANTS+=( "shared" ) - use tcl && MULTIBUILD_VARIANTS+=( "tcl" ) -} - -src_prepare() { - default - - if use tcl; then - if use examples; then - find examples/tclspice -type f -iname \*tcl -or -iname \*.sh | - while read s - do - sed -i -e 's@../../../src/.libs/libspice.so@libspice.so@g' \ - -e 's@package require BLT@package require Tk\npackage require BLT@g' \ - -e "s@spice::codemodel \(.*\)/\(.*\).cm@spice::codemodel /usr/$(get_libdir)/ngspice/\2.cm@g" \ - "${s}" || die "sed failed" - done - fi - fi - - eautoreconf - multibuild_copy_sources -} - -src_configure() { - multibuild_foreach_variant ngspice_configure -} - -ngspice_configure() { - local myeconfargs - - pushd "${BUILD_DIR}" &>/dev/null || die - - if use debug; then - myeconfargs=( - --enable-debug - --enable-ftedebug - --enable-cpdebug - --enable-sensdebug - --enable-asdebug - --enable-stepdebug - --enable-pzdebug - ) - else - myeconfargs=( - --disable-debug - --disable-ftedebug - --disable-cpdebug - --disable-sensdebug - --disable-asdebug - --disable-stepdebug - --disable-pzdebug - ) - fi - - # As of December 2017, these do not compile - myeconfargs+=( - --disable-blktmsdebug - --disable-smltmsdebug - ) - - myeconfargs+=( - --enable-xspice - --enable-cider - --disable-rpath - $(use_enable openmp) - $(use_with fftw fftw3) - $(use_with readline) - ) - - if [[ "${MULTIBUILD_VARIANT}" == "shared" ]]; then - myeconfargs+=( --with-ngshared ) - elif [[ "${MULTIBUILD_VARIANT}" == "tcl" ]]; then - myeconfargs+=( --with-tcl="${EPREFIX}/usr/$(get_libdir)" ) - else - myeconfargs+=( - $(use_enable deprecated oldapps) - $(use_with X x) - ) - fi - - econf "${myeconfargs[@]}" - - popd &>/dev/null || die -} - -src_compile() { - multibuild_foreach_variant ngspice_compile -} - -ngspice_compile() { - pushd "${BUILD_DIR}" &>/dev/null || die - default - popd &>/dev/null || die -} - -src_install() { - multibuild_foreach_variant ngspice_install - - # merge the installations of all variants - local v - for v in "${MULTIBUILD_VARIANTS[@]}" ; do - cp -a "${ED}/tmp/${v}"/* "${ED}" || die "Failed to combine multibuild installations" - done - rm -rf "${ED}/tmp" || die - - use tcl && DOCS+=( README.tcl ) - use shared && DOCS+=( README.shared-xspice ) - use doc && DOCS+=( "${DISTDIR}"/${P}-manual.pdf ) - - default - - if use examples; then - if ! use tcl; then - rm -rf examples/tclspice || die - fi - - insinto /usr/share/${PN} - doins -r examples - fi -} - -ngspice_install() { - pushd "${BUILD_DIR}" &>/dev/null || die - - emake DESTDIR="${ED}/tmp/${MULTIBUILD_VARIANT}" install - - # Strip shared-library and Tcl-module builds to the bare minimum; - # all the support files will have been handled by the 'binaries' build. - if [[ "${MULTIBUILD_VARIANT}" != "binaries" ]]; then - rm -rf "${ED}/tmp/${MULTIBUILD_VARIANT}"/usr/bin{,.debug} || die - rm -rf "${ED}/tmp/${MULTIBUILD_VARIANT}"/usr/share || die - rm -rf "${ED}/tmp/${MULTIBUILD_VARIANT}"/usr/$(get_libdir)/*.la || die - rm -rf "${ED}/tmp/${MULTIBUILD_VARIANT}"/usr/$(get_libdir)/ngspice/*.cm{,.debug} || die - fi - - popd &>/dev/null || die -} - -src_test() { - if ! use debug; then - # tests can be only executed for the binaries variant - pushd "${WORKDIR}/${P}-binaries" &>/dev/null || die - virtx default - popd &>/dev/null || die - else - # https://sourceforge.net/p/ngspice/bugs/353/ - ewarn - ewarn "Skipping tests because they are known to fail in debug mode" - ewarn - fi -} diff --git a/sci-electronics/ngspice/ngspice-34.ebuild b/sci-electronics/ngspice/ngspice-34.ebuild deleted file mode 100644 index e0f41dc5b269..000000000000 --- a/sci-electronics/ngspice/ngspice-34.ebuild +++ /dev/null @@ -1,204 +0,0 @@ -# Copyright 1999-2022 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=7 - -inherit autotools multibuild toolchain-funcs virtualx - -DESCRIPTION="The Next Generation Spice (Electronic Circuit Simulator)" -SRC_URI="mirror://sourceforge/ngspice/${P}.tar.gz - doc? ( mirror://sourceforge/ngspice/${P}-manual.pdf )" -HOMEPAGE="http://ngspice.sourceforge.net" -LICENSE="BSD GPL-2" - -SLOT="0" -IUSE="X debug deprecated doc examples fftw openmp +readline +shared tcl" -KEYWORDS="~amd64 ~arm64 ~ppc ~sparc ~x86 ~x64-macos" - -RESTRICT="!test? ( test )" - -DEPEND="sys-libs/ncurses:0= - X? ( x11-libs/libXaw - x11-libs/libXt - x11-libs/libX11 ) - fftw? ( sci-libs/fftw:3.0 ) - readline? ( sys-libs/readline:0= ) - tcl? ( dev-lang/tcl:0 - dev-tcltk/blt )" -RDEPEND="${DEPEND} - X? ( sci-visualization/xgraph )" - -DOCS=( - ANALYSES - AUTHORS - BUGS - ChangeLog - DEVICES - FAQ - NEWS - README - README.vdmos - Stuarts_Poly_Notes -) - -pkg_pretend() { - [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp -} - -pkg_setup() { - [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp - - MULTIBUILD_VARIANTS=( "binaries" ) - use shared && MULTIBUILD_VARIANTS+=( "shared" ) - use tcl && MULTIBUILD_VARIANTS+=( "tcl" ) -} - -src_prepare() { - default - - if use tcl; then - if use examples; then - find examples/tclspice -type f -iname \*tcl -or -iname \*.sh | - while read s - do - sed -i -e 's@../../../src/.libs/libspice.so@libspice.so@g' \ - -e 's@package require BLT@package require Tk\npackage require BLT@g' \ - -e "s@spice::codemodel \(.*\)/\(.*\).cm@spice::codemodel /usr/$(get_libdir)/ngspice/\2.cm@g" \ - "${s}" || die "sed failed" - done - fi - fi - - eautoreconf - multibuild_copy_sources -} - -src_configure() { - multibuild_foreach_variant ngspice_configure -} - -ngspice_configure() { - local myeconfargs - - pushd "${BUILD_DIR}" &>/dev/null || die - - if use debug; then - myeconfargs=( - --enable-debug - --enable-ftedebug - --enable-cpdebug - --enable-sensdebug - --enable-asdebug - --enable-stepdebug - --enable-pzdebug - ) - else - myeconfargs=( - --disable-debug - --disable-ftedebug - --disable-cpdebug - --disable-sensdebug - --disable-asdebug - --disable-stepdebug - --disable-pzdebug - ) - fi - - # As of March 2021, these do not compile - myeconfargs+=( - --disable-blktmsdebug - --disable-smltmsdebug - ) - - myeconfargs+=( - --enable-xspice - --enable-cider - --disable-rpath - $(use_enable openmp) - $(use_with fftw fftw3) - $(use_with readline) - ) - - if [[ "${MULTIBUILD_VARIANT}" == "shared" ]]; then - myeconfargs+=( --with-ngshared ) - elif [[ "${MULTIBUILD_VARIANT}" == "tcl" ]]; then - myeconfargs+=( --with-tcl="${EPREFIX}/usr/$(get_libdir)" ) - else - myeconfargs+=( - $(use_enable deprecated oldapps) - $(use_with X x) - ) - fi - - econf "${myeconfargs[@]}" - - popd &>/dev/null || die -} - -src_compile() { - multibuild_foreach_variant ngspice_compile -} - -ngspice_compile() { - pushd "${BUILD_DIR}" &>/dev/null || die - default - popd &>/dev/null || die -} - -src_install() { - multibuild_foreach_variant ngspice_install - - # merge the installations of all variants - local v - for v in "${MULTIBUILD_VARIANTS[@]}" ; do - cp -a "${ED}/tmp/${v}"/* "${ED}" || die "Failed to combine multibuild installations" - done - rm -rf "${ED}/tmp" || die - - use tcl && DOCS+=( README.tcl ) - use shared && DOCS+=( README.shared-xspice ) - use doc && DOCS+=( "${DISTDIR}"/${P}-manual.pdf ) - - default - - if use examples; then - if ! use tcl; then - rm -rf examples/tclspice || die - fi - - insinto /usr/share/${PN} - doins -r examples - fi -} - -ngspice_install() { - pushd "${BUILD_DIR}" &>/dev/null || die - - emake DESTDIR="${ED}/tmp/${MULTIBUILD_VARIANT}" install - - # Strip shared-library and Tcl-module builds to the bare minimum; - # all the support files will have been handled by the 'binaries' build. - if [[ "${MULTIBUILD_VARIANT}" != "binaries" ]]; then - rm -rf "${ED}/tmp/${MULTIBUILD_VARIANT}"/usr/bin{,.debug} || die - rm -rf "${ED}/tmp/${MULTIBUILD_VARIANT}"/usr/share || die - rm -rf "${ED}/tmp/${MULTIBUILD_VARIANT}"/usr/$(get_libdir)/*.la || die - rm -rf "${ED}/tmp/${MULTIBUILD_VARIANT}"/usr/$(get_libdir)/ngspice/*.cm{,.debug} || die - fi - - popd &>/dev/null || die -} - -src_test() { - if ! use debug; then - # tests can be only executed for the binaries variant - pushd "${WORKDIR}/${P}-binaries" &>/dev/null || die - echo "set ngbehavior=mc" > "${HOME}"/.spiceinit || die "Failed to configure ${PN} for running the test suite" - virtx default - popd &>/dev/null || die - else - # https://sourceforge.net/p/ngspice/bugs/353/ - ewarn - ewarn "Skipping tests because they are known to fail in debug mode" - ewarn - fi -} diff --git a/sci-electronics/ngspice/ngspice-36.ebuild b/sci-electronics/ngspice/ngspice-36.ebuild index 254b93c83e34..0a20c0490177 100644 --- a/sci-electronics/ngspice/ngspice-36.ebuild +++ b/sci-electronics/ngspice/ngspice-36.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2022 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -13,7 +13,7 @@ LICENSE="BSD GPL-2" SLOT="0" IUSE="X debug deprecated doc examples fftw openmp +readline +shared tcl" -KEYWORDS="amd64 ~arm64 ~ppc ~riscv ~sparc x86 ~x64-macos" +KEYWORDS="amd64 ~arm64 ~ppc ~riscv ~sparc ~x86 ~x64-macos" RESTRICT="!test? ( test )" diff --git a/sci-electronics/ngspice/ngspice-35.ebuild b/sci-electronics/ngspice/ngspice-40.ebuild index 305f2906fb38..5e10132afd22 100644 --- a/sci-electronics/ngspice/ngspice-35.ebuild +++ b/sci-electronics/ngspice/ngspice-40.ebuild @@ -1,30 +1,37 @@ -# Copyright 1999-2022 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 -EAPI=7 +EAPI=8 inherit autotools multibuild toolchain-funcs virtualx DESCRIPTION="The Next Generation Spice (Electronic Circuit Simulator)" -SRC_URI="mirror://sourceforge/ngspice/${P}.tar.gz - doc? ( mirror://sourceforge/ngspice/${P}-manual.pdf )" HOMEPAGE="http://ngspice.sourceforge.net" -LICENSE="BSD GPL-2" +SRC_URI=" + mirror://sourceforge/ngspice/${P}.tar.gz + doc? ( mirror://sourceforge/ngspice/${P}-manual.pdf ) +" +LICENSE="BSD GPL-2 MPL-2.0" SLOT="0" IUSE="X debug deprecated doc examples fftw openmp +readline +shared tcl" -KEYWORDS="~amd64 ~arm64 ~ppc ~sparc ~x86 ~x64-macos" - +KEYWORDS="~amd64 ~arm64 ~ppc ~riscv ~sparc ~x86 ~x64-macos" RESTRICT="!test? ( test )" -DEPEND="sys-libs/ncurses:0= - X? ( x11-libs/libXaw +DEPEND=" + sys-libs/ncurses:= + X? ( + x11-libs/libXaw x11-libs/libXt - x11-libs/libX11 ) - fftw? ( sci-libs/fftw:3.0 ) - readline? ( sys-libs/readline:0= ) - tcl? ( dev-lang/tcl:0 - dev-tcltk/blt )" + x11-libs/libX11 + ) + fftw? ( sci-libs/fftw:3.0= ) + readline? ( sys-libs/readline:= ) + tcl? ( + dev-lang/tcl:= + dev-tcltk/blt + ) +" RDEPEND="${DEPEND}" DOCS=( @@ -144,6 +151,21 @@ ngspice_compile() { popd &>/dev/null || die } +src_test() { + if ! use debug; then + # tests can be only executed for the binaries variant + pushd "${WORKDIR}/${P}-binaries" &>/dev/null || die + echo "set ngbehavior=mc" > "${HOME}"/.spiceinit || die "Failed to configure ${PN} for running the test suite" + virtx default + popd &>/dev/null || die + else + # https://sourceforge.net/p/ngspice/bugs/353/ + ewarn + ewarn "Skipping tests because they are known to fail in debug mode" + ewarn + fi +} + src_install() { multibuild_foreach_variant ngspice_install @@ -186,18 +208,3 @@ ngspice_install() { popd &>/dev/null || die } - -src_test() { - if ! use debug; then - # tests can be only executed for the binaries variant - pushd "${WORKDIR}/${P}-binaries" &>/dev/null || die - echo "set ngbehavior=mc" > "${HOME}"/.spiceinit || die "Failed to configure ${PN} for running the test suite" - virtx default - popd &>/dev/null || die - else - # https://sourceforge.net/p/ngspice/bugs/353/ - ewarn - ewarn "Skipping tests because they are known to fail in debug mode" - ewarn - fi -} diff --git a/sci-electronics/nvc/Manifest b/sci-electronics/nvc/Manifest new file mode 100644 index 000000000000..46063f1a6c9a --- /dev/null +++ b/sci-electronics/nvc/Manifest @@ -0,0 +1,3 @@ +DIST nvc-1.10.1.tar.gz 1589523 BLAKE2B 608eaa7058cc15df11d326971454ef5d3afffa99505f43a2497e4060e75a1e1958a163d0a78ec036dca40643f006468a9dc6f49cb0a2a3d2368f4a467ad6e94d SHA512 004230b4e571d3b15563f7a2e17472bbcb8e63773d205c27426e077364fac12051617a9bb908ed376928302b266d8751091284f9d5808b3ea8dacb433d440b33 +DIST nvc-1.10.2.tar.gz 1591682 BLAKE2B 6b98ffd2a35498a572627e1460ef075653d1aed917a0b21de65c4740236570ac243fade51d795752062f022b1dc2ea9f7608ca47aee1ea6161fbfb6a525f926e SHA512 f5022f0871810ae6a9a53639a7b7c9055bb3c6fd243557eac71db14167ea073c7434a287b83cfc427920cff6433d0342bb8c4d44cee3d3a2b24ad73def8a7715 +DIST nvc-1.10.3.tar.gz 1594551 BLAKE2B 0b607dfdcb0fba0edf5ca56d35786bce3e20cc7f9ecd90d0fd8ebbf492171d08fcd7f4df9ba200446fe890244d7a7fee70f5de0b22d16fb5872550fc69bc199c SHA512 cd5bae71e765c87f0c8a1f21bb4cdd1022470bd4bb9dc5ecc2d15cfa365ed0a2c0e85c4edffd9fa90080db6a44db7101a11cd6fcc134c7870d64601183994893 diff --git a/sci-electronics/nvc/files/nvc-1.9.2-jit-code-capstone.patch b/sci-electronics/nvc/files/nvc-1.9.2-jit-code-capstone.patch new file mode 100644 index 000000000000..963226b34c6d --- /dev/null +++ b/sci-electronics/nvc/files/nvc-1.9.2-jit-code-capstone.patch @@ -0,0 +1,11 @@ +--- a/src/jit/jit-code.c ++++ b/src/jit/jit-code.c +@@ -44,7 +44,7 @@ + #endif + + #ifdef HAVE_CAPSTONE +-#include <capstone.h> ++#include <capstone/capstone.h> + #endif + + #ifndef R_AARCH64_MOVW_UABS_G0_NC diff --git a/sci-electronics/nvc/metadata.xml b/sci-electronics/nvc/metadata.xml new file mode 100644 index 000000000000..71e32280c562 --- /dev/null +++ b/sci-electronics/nvc/metadata.xml @@ -0,0 +1,29 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd"> + +<pkgmetadata> + <maintainer type="person"> + <email>xgqt@gentoo.org</email> + <name>Maciej Barć</name> + </maintainer> + <longdescription> + NVC is a VHDL compiler and simulator. NVC supports almost all of VHDL-2008 + with the exception of PSL, and it has been successfully used to simulate + several real-world designs. Experimental support for VHDL-2019 is under + development. NVC has a particular emphasis on simulation performance and + uses LLVM to compile VHDL to native machine code. NVC is not a synthesizer. + That is, it does not output something that could be used to program an FPGA + or ASIC. It implements only the simulation behaviour of the language as + described by the IEEE 1076 standard. NVC supports popular verification + frameworks including OSVVM, UVVM, and VUnit. + </longdescription> + <use> + <flag name="llvm">Build LLVM code generator</flag> + <flag name="jit">Enable experimental JIT complilation with LLVM</flag> + </use> + <upstream> + <bugs-to>https://github.com/nickg/nvc/issues/</bugs-to> + <remote-id type="github">nickg/nvc</remote-id> + <remote-id type="sourcehut">~nickg/nvc</remote-id> + </upstream> +</pkgmetadata> diff --git a/sci-electronics/nvc/nvc-1.10.1-r1.ebuild b/sci-electronics/nvc/nvc-1.10.1-r1.ebuild new file mode 100644 index 000000000000..412e7011f9b2 --- /dev/null +++ b/sci-electronics/nvc/nvc-1.10.1-r1.ebuild @@ -0,0 +1,109 @@ +# Copyright 1999-2023 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit autotools bash-completion-r1 llvm + +DESCRIPTION="NVC is a VHDL compiler and simulator" +HOMEPAGE="https://www.nickg.me.uk/nvc/ + https://github.com/nickg/nvc/" + +if [[ ${PV} == *9999* ]] ; then + inherit git-r3 + EGIT_REPO_URI="https://github.com/nickg/nvc.git" + + NVC_SOURCEDIR="${WORKDIR}"/${PN}-${PV} +else + SRC_URI="https://github.com/nickg/nvc/archive/r${PV}.tar.gz + -> ${P}.tar.gz" + KEYWORDS="~amd64 ~x86" + + NVC_SOURCEDIR="${WORKDIR}"/${PN}-r${PV} +fi + +LICENSE="GPL-3+" +SLOT="0" +IUSE="debug jit llvm" +REQUIRED_USE="jit? ( llvm )" +RESTRICT="test" # Some tests fail. + +RDEPEND=" + app-arch/bzip2:= + app-arch/zstd:= + dev-libs/capstone:= + dev-libs/elfutils + dev-libs/icu:= + dev-libs/libffi:= + dev-libs/libxml2:= + sys-libs/ncurses:= + sys-libs/zlib:= + llvm? ( sys-devel/llvm:= ) +" +DEPEND=" + ${RDEPEND} +" +BDEPEND=" + dev-libs/check + sys-devel/bison + sys-devel/flex +" + +NVC_BUILDDIR="${NVC_SOURCEDIR}_BuildDir" +S="${NVC_BUILDDIR}" + +PATCHES=( "${FILESDIR}"/nvc-1.9.2-jit-code-capstone.patch ) + +# Special libraries for NVC. +QA_FLAGS_IGNORED="usr/lib[0-9]*/nvc/preload[0-9]*.so" + +pkg_setup() { + use llvm && llvm_pkg_setup +} + +src_unpack() { + default + + mkdir -p "${S}" || die +} + +src_prepare() { + pushd "${NVC_SOURCEDIR}" >/dev/null || die + + default + + eautoreconf + + popd >/dev/null || die +} + +src_configure() { + local ECONF_SOURCE="${NVC_SOURCEDIR}" + local -a myconf=( + --enable-verilog + --enable-vital + --with-bash-completion="$(get_bashcompdir)" + $(use_enable debug) + $(use_enable jit) + $(use_enable llvm) + ) + econf "${myconf[@]}" + + export V=1 # Verbose compilation and install. +} + +src_compile() { + emake -j1 +} + +src_test() { + emake check +} + +src_install() { + default + + mv "${ED}"/"$(get_bashcompdir)"/nvc{.bash,} || die + + dostrip -x /usr/$(get_libdir)/nvc +} diff --git a/sci-electronics/nvc/nvc-1.10.2-r1.ebuild b/sci-electronics/nvc/nvc-1.10.2-r1.ebuild new file mode 100644 index 000000000000..412e7011f9b2 --- /dev/null +++ b/sci-electronics/nvc/nvc-1.10.2-r1.ebuild @@ -0,0 +1,109 @@ +# Copyright 1999-2023 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit autotools bash-completion-r1 llvm + +DESCRIPTION="NVC is a VHDL compiler and simulator" +HOMEPAGE="https://www.nickg.me.uk/nvc/ + https://github.com/nickg/nvc/" + +if [[ ${PV} == *9999* ]] ; then + inherit git-r3 + EGIT_REPO_URI="https://github.com/nickg/nvc.git" + + NVC_SOURCEDIR="${WORKDIR}"/${PN}-${PV} +else + SRC_URI="https://github.com/nickg/nvc/archive/r${PV}.tar.gz + -> ${P}.tar.gz" + KEYWORDS="~amd64 ~x86" + + NVC_SOURCEDIR="${WORKDIR}"/${PN}-r${PV} +fi + +LICENSE="GPL-3+" +SLOT="0" +IUSE="debug jit llvm" +REQUIRED_USE="jit? ( llvm )" +RESTRICT="test" # Some tests fail. + +RDEPEND=" + app-arch/bzip2:= + app-arch/zstd:= + dev-libs/capstone:= + dev-libs/elfutils + dev-libs/icu:= + dev-libs/libffi:= + dev-libs/libxml2:= + sys-libs/ncurses:= + sys-libs/zlib:= + llvm? ( sys-devel/llvm:= ) +" +DEPEND=" + ${RDEPEND} +" +BDEPEND=" + dev-libs/check + sys-devel/bison + sys-devel/flex +" + +NVC_BUILDDIR="${NVC_SOURCEDIR}_BuildDir" +S="${NVC_BUILDDIR}" + +PATCHES=( "${FILESDIR}"/nvc-1.9.2-jit-code-capstone.patch ) + +# Special libraries for NVC. +QA_FLAGS_IGNORED="usr/lib[0-9]*/nvc/preload[0-9]*.so" + +pkg_setup() { + use llvm && llvm_pkg_setup +} + +src_unpack() { + default + + mkdir -p "${S}" || die +} + +src_prepare() { + pushd "${NVC_SOURCEDIR}" >/dev/null || die + + default + + eautoreconf + + popd >/dev/null || die +} + +src_configure() { + local ECONF_SOURCE="${NVC_SOURCEDIR}" + local -a myconf=( + --enable-verilog + --enable-vital + --with-bash-completion="$(get_bashcompdir)" + $(use_enable debug) + $(use_enable jit) + $(use_enable llvm) + ) + econf "${myconf[@]}" + + export V=1 # Verbose compilation and install. +} + +src_compile() { + emake -j1 +} + +src_test() { + emake check +} + +src_install() { + default + + mv "${ED}"/"$(get_bashcompdir)"/nvc{.bash,} || die + + dostrip -x /usr/$(get_libdir)/nvc +} diff --git a/sci-electronics/nvc/nvc-1.10.3.ebuild b/sci-electronics/nvc/nvc-1.10.3.ebuild new file mode 100644 index 000000000000..412e7011f9b2 --- /dev/null +++ b/sci-electronics/nvc/nvc-1.10.3.ebuild @@ -0,0 +1,109 @@ +# Copyright 1999-2023 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit autotools bash-completion-r1 llvm + +DESCRIPTION="NVC is a VHDL compiler and simulator" +HOMEPAGE="https://www.nickg.me.uk/nvc/ + https://github.com/nickg/nvc/" + +if [[ ${PV} == *9999* ]] ; then + inherit git-r3 + EGIT_REPO_URI="https://github.com/nickg/nvc.git" + + NVC_SOURCEDIR="${WORKDIR}"/${PN}-${PV} +else + SRC_URI="https://github.com/nickg/nvc/archive/r${PV}.tar.gz + -> ${P}.tar.gz" + KEYWORDS="~amd64 ~x86" + + NVC_SOURCEDIR="${WORKDIR}"/${PN}-r${PV} +fi + +LICENSE="GPL-3+" +SLOT="0" +IUSE="debug jit llvm" +REQUIRED_USE="jit? ( llvm )" +RESTRICT="test" # Some tests fail. + +RDEPEND=" + app-arch/bzip2:= + app-arch/zstd:= + dev-libs/capstone:= + dev-libs/elfutils + dev-libs/icu:= + dev-libs/libffi:= + dev-libs/libxml2:= + sys-libs/ncurses:= + sys-libs/zlib:= + llvm? ( sys-devel/llvm:= ) +" +DEPEND=" + ${RDEPEND} +" +BDEPEND=" + dev-libs/check + sys-devel/bison + sys-devel/flex +" + +NVC_BUILDDIR="${NVC_SOURCEDIR}_BuildDir" +S="${NVC_BUILDDIR}" + +PATCHES=( "${FILESDIR}"/nvc-1.9.2-jit-code-capstone.patch ) + +# Special libraries for NVC. +QA_FLAGS_IGNORED="usr/lib[0-9]*/nvc/preload[0-9]*.so" + +pkg_setup() { + use llvm && llvm_pkg_setup +} + +src_unpack() { + default + + mkdir -p "${S}" || die +} + +src_prepare() { + pushd "${NVC_SOURCEDIR}" >/dev/null || die + + default + + eautoreconf + + popd >/dev/null || die +} + +src_configure() { + local ECONF_SOURCE="${NVC_SOURCEDIR}" + local -a myconf=( + --enable-verilog + --enable-vital + --with-bash-completion="$(get_bashcompdir)" + $(use_enable debug) + $(use_enable jit) + $(use_enable llvm) + ) + econf "${myconf[@]}" + + export V=1 # Verbose compilation and install. +} + +src_compile() { + emake -j1 +} + +src_test() { + emake check +} + +src_install() { + default + + mv "${ED}"/"$(get_bashcompdir)"/nvc{.bash,} || die + + dostrip -x /usr/$(get_libdir)/nvc +} diff --git a/sci-electronics/pcb/pcb-4.2.2.ebuild b/sci-electronics/pcb/pcb-4.2.2.ebuild index b51e7ef4e3cd..8c88c3365640 100644 --- a/sci-electronics/pcb/pcb-4.2.2.ebuild +++ b/sci-electronics/pcb/pcb-4.2.2.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2021 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -11,7 +11,7 @@ SRC_URI="mirror://sourceforge/pcb/pcb/${P}/${P}.tar.gz" LICENSE="GPL-2" SLOT="0" -KEYWORDS="amd64 ~ppc x86" +KEYWORDS="amd64 ~ppc ~x86" IUSE="dbus doc gcode gif gsvit gui jpeg m4lib-png nelma png test tk toporouter" # toporouter-output USE flag removed, there seems to be no result RESTRICT="!test? ( test )" diff --git a/sci-electronics/puff/puff-20100127-r1.ebuild b/sci-electronics/puff/puff-20100127-r1.ebuild index 3ec8bccda1fa..1c2f5621d272 100644 --- a/sci-electronics/puff/puff-20100127-r1.ebuild +++ b/sci-electronics/puff/puff-20100127-r1.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2021 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -6,8 +6,8 @@ EAPI=7 inherit flag-o-matic toolchain-funcs DESCRIPTION="microwave CAD software" -HOMEPAGE="https://wwwhome.cs.utwente.nl/~ptdeboer/ham/puff/" -SRC_URI="https://wwwhome.cs.utwente.nl/~ptdeboer/ham/${PN}/${P}.tgz" +HOMEPAGE="https://www.pa3fwm.nl/software/puff/" +SRC_URI="https://www.pa3fwm.nl/software/${PN}/${P}.tgz" LICENSE="GPL-3" SLOT="0" @@ -21,7 +21,7 @@ src_prepare() { default # fix lib path for X11 and dont ignore LDFLAGS # respect CC and LD - eapply -p0 "${FILESDIR}"/$P-Makefile.patch + eapply -p0 "${FILESDIR}"/${P}-Makefile.patch eapply_user } diff --git a/sci-electronics/puff/puff-20181104.ebuild b/sci-electronics/puff/puff-20181104.ebuild index 80838dd3c7ef..3931f1297a2f 100644 --- a/sci-electronics/puff/puff-20181104.ebuild +++ b/sci-electronics/puff/puff-20181104.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2022 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -6,8 +6,8 @@ EAPI=7 inherit flag-o-matic toolchain-funcs DESCRIPTION="microwave CAD software" -HOMEPAGE="https://wwwhome.cs.utwente.nl/~ptdeboer/ham/puff/" -SRC_URI="https://wwwhome.cs.utwente.nl/~ptdeboer/ham/${PN}/${P}.tgz" +HOMEPAGE="https://www.pa3fwm.nl/software/puff/" +SRC_URI="https://www.pa3fwm.nl/software/${PN}/${P}.tgz" LICENSE="GPL-3" SLOT="0" @@ -22,7 +22,7 @@ src_prepare() { # fix lib path for X11 and dont ignore LDFLAGS # respect CC and LD # additional drop explicite format option for linker (bug #831569) - eapply -p0 "${FILESDIR}"/$P-Makefile.patch + eapply -p0 "${FILESDIR}"/${P}-Makefile.patch # add missing LDPATH for libX11.so sed -i -e "s:-lX11:-L/usr/$(get_libdir) -lX11:g" Makefile || die # drop no longer needed and now unsupported paramter '-T' (bug #8802225) diff --git a/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild b/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild index 68f5ed0ee26b..21b1ebfe0716 100644 --- a/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild +++ b/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild @@ -1,4 +1,4 @@ -# Copyright 2001-2021 Gentoo Authors +# Copyright 2001-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -14,7 +14,7 @@ if [[ ${PV} == *9999* ]]; then else MY_P=qet-${PV/%0/.0} SRC_URI="https://git.tuxfamily.org/qet/qet.git/snapshot/${MY_P}.tar.gz -> ${P}.tar.gz" - KEYWORDS="amd64 x86" + KEYWORDS="amd64 ~x86" S="${WORKDIR}"/${MY_P} fi diff --git a/sci-electronics/spice/files/spice-3.5.5-arlocal.patch b/sci-electronics/spice/files/spice-3.5.5-arlocal.patch new file mode 100644 index 000000000000..575726fdb7b0 --- /dev/null +++ b/sci-electronics/spice/files/spice-3.5.5-arlocal.patch @@ -0,0 +1,11 @@ +--- spice3f5sfix/conf/deaults.orig 2023-07-23 16:26:09.073788130 +0300 ++++ spice3f5sfix/conf/defaults 2023-07-23 16:26:36.772571639 +0300 +@@ -173,7 +173,7 @@ + # spice3 source directory than in /tmp. Set to 'l' (lowercase 'L') + # to use the source directory, otherwise leave blank. + +-ARLOCAL = l ++ARLOCAL = + + # DEPEND_PROG is the command to generate dependencies from '.c' files + # (for "make depend"). Output should be of the form "x11.o: fte.h". diff --git a/sci-electronics/spice/spice-3.5.5-r3.ebuild b/sci-electronics/spice/spice-3.5.5-r3.ebuild index ed2fa12832f5..3228789e8fc9 100644 --- a/sci-electronics/spice/spice-3.5.5-r3.ebuild +++ b/sci-electronics/spice/spice-3.5.5-r3.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2022 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI="6" @@ -12,7 +12,7 @@ SRC_URI="http://www.ibiblio.org/pub/Linux/apps/circuits/${MY_P}.tar.gz" LICENSE="BSD" SLOT="0" -KEYWORDS="amd64 ~ppc x86" +KEYWORDS="amd64 ~ppc ~x86" RDEPEND="sys-libs/ncurses:0= x11-libs/libXaw @@ -42,6 +42,8 @@ src_prepare() { conf/linux || die sed -i -e "s:head -1:head -n 1:" util/build || die eapply "${FILESDIR}"/${P}-gcc-4.1.patch + # Bug https://bugs.gentoo.org/783192 + eapply "${FILESDIR}"/${P}-arlocal.patch # fix possible buffer overflow (bug #339539) sed -i -e "s:fgets(buf, BSIZE_SP:fgets(buf, sizeof(buf):g" \ diff --git a/sci-electronics/spice/spice-3.5.5-r4.ebuild b/sci-electronics/spice/spice-3.5.5-r4.ebuild new file mode 100644 index 000000000000..931d96996b3b --- /dev/null +++ b/sci-electronics/spice/spice-3.5.5-r4.ebuild @@ -0,0 +1,82 @@ +# Copyright 1999-2023 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit flag-o-matic toolchain-funcs + +MY_P="spice3f5sfix" +DESCRIPTION="general-purpose circuit simulation program" +HOMEPAGE="http://bwrcs.EECS.Berkeley.EDU/Classes/IcBook/SPICE/" +SRC_URI="https://www.ibiblio.org/pub/Linux/apps/circuits/${MY_P}.tar.gz" +S=${WORKDIR}/${MY_P} + +LICENSE="BSD" +SLOT="0" +KEYWORDS="~amd64 ~ppc ~x86" + +RDEPEND=" + sys-libs/ncurses:0= + x11-libs/libXaw + >=app-misc/editor-wrapper-3 +" + +DEPEND="${RDEPEND} + x11-base/xorg-proto +" + +BDEPEND=" + virtual/pkgconfig +" + +PATCHES=( + "${FILESDIR}"/${P}-gcc-4.1.patch + # Bug https://bugs.gentoo.org/783192 + "${FILESDIR}"/${P}-arlocal.patch +) + +src_prepare() { + # spice accepts -O1 at most + replace-flags -O* -O1 + + # Avoid re-creating WORKDIR due to stupid mtime + touch .. + + sed -i -e "s/termcap/ncurses/g" \ + -e "s/joe/\/usr\/libexec\/editor/g" \ + -e "s/-O2 -s/${CFLAGS}/g" \ + -e "s/-lncurses -lm -s/-lncurses -lm ${LDFLAGS}/" \ + -e "s/SPICE_DIR)\/lib/SPICE_DIR)\/$(get_libdir)\/spice/g" \ + -e "s/\/usr\/local\/spice/\/usr/g" \ + -e "s/\/X11R6//" \ + conf/linux || die + sed -i -e "s/head -1/head -n 1/" util/build || die + + # fix possible buffer overflow (bug #339539) + sed -i -e "s/fgets(buf, BSIZE_SP/fgets(buf, sizeof(buf)/g" \ + src/lib/fte/misccoms.c || die + + # fix missing libtinfo if ncurses compiled with USE=tinfo (bug #605718) + sed -i -e "s/-lncurses/$($(tc-getPKG_CONFIG) --libs ncurses)/g" conf/linux || die + + default +} + +src_compile() { + ./util/build linux || die "build failed" + obj/bin/makeidx lib/helpdir/spice.txt || die "makeidx failed" +} + +src_install() { + # install binaries + dobin obj/bin/{spice3,nutmeg,sconvert,multidec,proc2mod} + newbin obj/bin/help spice.help + dosym spice3 /usr/bin/spice + # install runtime stuff + rm lib/make* || die + dodir /usr/$(get_libdir)/spice + cp -R lib/* "${ED}"/usr/$(get_libdir)/spice/ || die "failed to copy libraries" + # install docs + doman man/man1/*.1 + dodoc readme readme.Linux notes/spice2 +} diff --git a/sci-electronics/splat/files/splat-1.4.2-drop-register-keyword.patch b/sci-electronics/splat/files/splat-1.4.2-drop-register-keyword.patch new file mode 100644 index 000000000000..4445b06f2057 --- /dev/null +++ b/sci-electronics/splat/files/splat-1.4.2-drop-register-keyword.patch @@ -0,0 +1,22 @@ +Register keyword was deprecated in C++14 and removed in C++17 +Bug: https://bugs.gentoo.org/898168 +--- a/splat.cpp ++++ b/splat.cpp +@@ -567,7 +567,7 @@ double ElevationAngle(struct site source, struct site destination) + (downtilt), as referenced to a normal to the center of + the earth. */ + +- register double a, b, dx; ++ double a, b, dx; + + a=GetElevation(destination)+destination.alt+earthradius; + b=GetElevation(source)+source.alt+earthradius; +@@ -2727,7 +2727,7 @@ void PlotPath(struct site source, struct site destination, char mask_value) + + char block; + int x, y; +- register double cos_xmtr_angle, cos_test_angle, test_alt; ++ double cos_xmtr_angle, cos_test_angle, test_alt; + double distance, rx_alt, tx_alt; + + ReadPath(source,destination); diff --git a/sci-electronics/splat/splat-1.4.2-r1.ebuild b/sci-electronics/splat/splat-1.4.2-r1.ebuild new file mode 100644 index 000000000000..e8c4e8321e79 --- /dev/null +++ b/sci-electronics/splat/splat-1.4.2-r1.ebuild @@ -0,0 +1,92 @@ +# Copyright 1999-2023 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit toolchain-funcs + +DESCRIPTION="RF Signal Propagation, Loss, And Terrain analysis tool" +HOMEPAGE="https://www.qsl.net/kd2bd/splat.html" +SRC_URI="https://www.qsl.net/kd2bd/${P}.tar.bz2" + +LICENSE="GPL-2" +SLOT="0" +KEYWORDS="~amd64 ~ppc ~x86" +IUSE="doc hires l10n_es" + +DEPEND=" + app-arch/bzip2 + sys-libs/zlib +" +RDEPEND="${DEPEND}" + +PATCHES=( + "${FILESDIR}"/${PN}-1.2.2-gcc43.patch + "${FILESDIR}"/${PN}-1.4.2-drop-register-keyword.patch +) + +src_configure() { + # fake resulting file from interactive configuration script + # using default resolution + cat <<- EOF > "${S}/splat.h" || die + /* Parameters for 3 arc-second standard resolution mode of operation */ + #define MAXPAGES 9 + #define HD_MODE 0 + EOF + if use hires; then + # fake resulting file from interactive configuration script + # using default resolution + cat <<- EOF > "${S}/hires.h" || die + /* Parameters for 3 arc-second hires resolution mode of operation */ + #define MAXPAGES 9 + #define HD_MODE 1 + EOF + fi +} + +src_compile() { + tc-export CC CXX + + cp {splat,rfsplat}.cpp || die + emake LDLIBS="-lm -lbz2" -E "rfsplat: itwom3.0.o" + if use hires; then + cp {hires,splat}.h || die + cp {splat,rfsplat-hd}.cpp || die + emake LDLIBS="-lm -lbz2" -E "rfsplat-hd: itwom3.0.o" + fi + + cd utils || die + + emake citydecoder usgs2sdf + emake LDLIBS=-lbz2 srtm2sdf + emake LDLIBS=-lm bearing +} + +src_install() { + local SPLAT_LANG="english" + use l10n_es && SPLAT_LANG="spanish" + # splat binary + dobin rfsplat + + use hires && dobin rfsplat-hd + + # utilities + dobin utils/{citydecoder,usgs2sdf,srtm2sdf,postdownload,bearing} + newman docs/${SPLAT_LANG}/man/splat.man rfsplat.1 + + dodoc CHANGES README utils/fips.txt + newdoc utils/README README.UTILS + + use doc && dodoc docs/${SPLAT_LANG}/{pdf/splat.pdf,postscript/splat.ps} + + #sample data + docinto sample_data + dodoc -r sample_data/. +} + +pkg_postinst() { + elog "The original SPLAT! command got renamed to 'rfsplat' to avoid" + elog "filename collision with app-portage/splat." + elog "" + elog "Be aware that it is still referenced as 'splat' in the documentation." +} diff --git a/sci-electronics/systemc/systemc-2.3.4-r1.ebuild b/sci-electronics/systemc/systemc-2.3.4-r1.ebuild index 1b392e0ac3e4..ad0a4ea125bb 100644 --- a/sci-electronics/systemc/systemc-2.3.4-r1.ebuild +++ b/sci-electronics/systemc/systemc-2.3.4-r1.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2022 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -18,7 +18,7 @@ if [[ "${PV}" == "9999" ]] ; then inherit git-r3 else SRC_URI="https://github.com/accellera-official/${PN}/archive/${MY_PV}.tar.gz -> ${P}.tar.gz" - KEYWORDS="amd64 ~arm ~arm64 x86" + KEYWORDS="amd64 ~arm ~arm64 ~x86" S="${WORKDIR}/${PN}-${MY_PV}" fi diff --git a/sci-electronics/voacapl/voacapl-0.7.6-r1.ebuild b/sci-electronics/voacapl/voacapl-0.7.6-r1.ebuild index 829abdbfcb48..f9d44130cf38 100644 --- a/sci-electronics/voacapl/voacapl-0.7.6-r1.ebuild +++ b/sci-electronics/voacapl/voacapl-0.7.6-r1.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2022 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI="7" @@ -13,7 +13,7 @@ SRC_URI="https://github.com/jawatson/${PN}/archive/v.${PV}.tar.gz -> ${P}.tar.gz LICENSE="all-rights-reserved" SLOT="0" -KEYWORDS="amd64 x86" +KEYWORDS="amd64 ~x86" IUSE="" RESTRICT="mirror bindist" diff --git a/sci-electronics/xcircuit/xcircuit-3.10.30-r1.ebuild b/sci-electronics/xcircuit/xcircuit-3.10.30-r1.ebuild index b4cf6a10fb70..99dddef08239 100644 --- a/sci-electronics/xcircuit/xcircuit-3.10.30-r1.ebuild +++ b/sci-electronics/xcircuit/xcircuit-3.10.30-r1.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2022 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -11,7 +11,7 @@ HOMEPAGE="http://opencircuitdesign.com/xcircuit" LICENSE="GPL-2" SLOT="0" -KEYWORDS="amd64 ~ppc x86" +KEYWORDS="amd64 ~ppc ~x86" IUSE="tcl" DEPEND=" diff --git a/sci-electronics/xnec2c/xnec2c-4.4.12.ebuild b/sci-electronics/xnec2c/xnec2c-4.4.12.ebuild index 7f956b3139c9..cb4d85bd034f 100644 --- a/sci-electronics/xnec2c/xnec2c-4.4.12.ebuild +++ b/sci-electronics/xnec2c/xnec2c-4.4.12.ebuild @@ -13,7 +13,7 @@ SRC_URI="https://www.xnec2c.org/releases/${MY_P}.tar.gz" LICENSE="GPL-2" SLOT="0" -KEYWORDS="amd64 x86" +KEYWORDS="amd64 ~x86" IUSE="doc examples" RDEPEND="dev-libs/glib:2 diff --git a/sci-electronics/xnecview/Manifest b/sci-electronics/xnecview/Manifest new file mode 100644 index 000000000000..8a9aa6cdf579 --- /dev/null +++ b/sci-electronics/xnecview/Manifest @@ -0,0 +1 @@ +DIST xnecview-1.37.tgz 79005 BLAKE2B 505ba69b7ba77355f789b36af3e1df3719eb0a9179541d83a26285a0e5c84fc7b9b156bf4d586bfa28af0074819e397bf7441e39a819972c701e6487787c07d3 SHA512 6fc4beec1b9502d6084d4e412b8bb89d1deeaab5bfa6c0341cba1a899fb9a59eb1e7f9dfc61a97f2853ecff57c241dc9af993b176410799a07f113e3a60f75d7 diff --git a/sci-electronics/xnecview/files/xnecview-tc-variables.patch b/sci-electronics/xnecview/files/xnecview-tc-variables.patch new file mode 100644 index 000000000000..cd8a5c7d04dd --- /dev/null +++ b/sci-electronics/xnecview/files/xnecview-tc-variables.patch @@ -0,0 +1,29 @@ +diff -Naur xnecview-1.37.org/Makefile xnecview-1.37/Makefile +--- xnecview-1.37.org/Makefile 2006-03-27 22:38:37.000000000 +0200 ++++ xnecview-1.37/Makefile 2022-09-01 19:00:31.929015747 +0200 +@@ -3,11 +3,12 @@ + + + +-CC = gcc +-CFLAGS = -O2 -g -Wall `pkg-config gtk+-2.0 --cflags` ++CC ?= gcc ++CFLAGS ?= -O2 -g -Wall ++CFLAGS += `$(PKG_CONFIG) gtk+-2.0 --cflags` + +-LD = $(CC) +-LDFLAGS = `pkg-config gtk+-2.0 --libs` -lm ++LD ?= $(CC) ++LDFLAGS += `$(PKG_CONFIG) gtk+-2.0 --libs` -lm + + ifeq ($(PNG),yes) + CFLAGS += -DHAVE_LIBPNG +@@ -20,7 +21,7 @@ + all: xnecview + + xnecview: $(OBJS) +- $(CC) $(CFLAGS) $(LDFLAGS) $(OBJS) -o xnecview ++ $(CC) $(CFLAGS) $(OBJS) $(LDFLAGS) -o xnecview + + xnecview.tgz: $(SRCS) + tar czvf xnecview.tgz COPYING README HISTORY Imakefile Imakefile_nopng Makefile $(SRCS) xnecview.h config.h xnecview.1x xnecview.man diff --git a/sci-electronics/xnecview/metadata.xml b/sci-electronics/xnecview/metadata.xml new file mode 100644 index 000000000000..2f6ad5330dc3 --- /dev/null +++ b/sci-electronics/xnecview/metadata.xml @@ -0,0 +1,17 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd"> +<pkgmetadata> + <maintainer type="person" proxied="yes"> + <email>mario.haustein@hrz.tu-chemnitz.de</email> + <name>Mario Haustein</name> + </maintainer> + <maintainer type="project" proxied="proxy"> + <email>proxy-maint@gentoo.org</email> + <name>Proxy Maintainers</name> + </maintainer> + <longdescription> + Xnecview is a program for visualizing the input and output files of the + NEC antenna simulation software. It runs on Linux/Unix systems with the + X window system. + </longdescription> +</pkgmetadata> diff --git a/sci-electronics/xnecview/xnecview-1.37.ebuild b/sci-electronics/xnecview/xnecview-1.37.ebuild new file mode 100644 index 000000000000..23ffa4d06bc9 --- /dev/null +++ b/sci-electronics/xnecview/xnecview-1.37.ebuild @@ -0,0 +1,37 @@ +# Copyright 2022-2023 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit toolchain-funcs + +DESCRIPTION="A program for visualizing NEC2 input and output data" +HOMEPAGE="https://www.pa3fwm.nl/software/xnecview/" +SRC_URI="https://www.pa3fwm.nl/software/xnecview/xnecview-${PV}.tgz" + +LICENSE="GPL-2" +SLOT="0" +KEYWORDS="amd64 x86" + +DEPEND=" + >=media-libs/libpng-1.6 + x11-libs/gtk+:2 +" +RDEPEND="${DEPEND}" +BDEPEND="virtual/pkgconfig" + +PATCHES=( + "${FILESDIR}/${PN}-tc-variables.patch" +) + +src_compile() { + tc-export CC LD PKG_CONFIG + emake +} + +src_install() { + dobin xnecview + doman xnecview.1x + dodoc README + dodoc HISTORY +} diff --git a/sci-electronics/xoscope/xoscope-2.3.ebuild b/sci-electronics/xoscope/xoscope-2.3.ebuild index f2b41d1c55c3..69434a3f9cfc 100644 --- a/sci-electronics/xoscope/xoscope-2.3.ebuild +++ b/sci-electronics/xoscope/xoscope-2.3.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2022 Gentoo Authors +# Copyright 1999-2023 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=8 @@ -11,7 +11,7 @@ SRC_URI="mirror://sourceforge/project/${PN}/${PN}/${PV}/${P}.tar.gz" LICENSE="GPL-2" SLOT="0" -KEYWORDS="amd64 x86" +KEYWORDS="amd64 ~x86" RDEPEND=" dev-libs/glib:2 |