summaryrefslogtreecommitdiff
blob: b7500914255c6f3a9eeccdc97498ca4cd392f2f7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
<!DOCTYPE html>
<html>
    <head>
        <meta charset='utf-8'/>
        <link rel="stylesheet" type="text/css" href="log.css"/>
        <title>QA check results for repository bright</title>
    </head>
    <body>
        <h1>bright</h1>

        <table class="log">

            <tr class="" id="l1"><td><a href="#l1"><span>1</span></a></td><td><pre>{'description': {'en': "BrightOne's personal overlay"},
</pre></td></tr>
            <tr class="" id="l2"><td><a href="#l2"><span>2</span></a></td><td><pre> 'feed': ['https://github.com/filalex77/bright/commits/master.atom'],
</pre></td></tr>
            <tr class="" id="l3"><td><a href="#l3"><span>3</span></a></td><td><pre> 'homepage': 'https://github.com/filalex77/bright',
</pre></td></tr>
            <tr class="" id="l4"><td><a href="#l4"><span>4</span></a></td><td><pre> 'name': 'bright',
</pre></td></tr>
            <tr class="" id="l5"><td><a href="#l5"><span>5</span></a></td><td><pre> 'owner': [{'email': 'brightone@protonmail.com',
</pre></td></tr>
            <tr class="" id="l6"><td><a href="#l6"><span>6</span></a></td><td><pre>            'name': 'Oleksii Filonenko',
</pre></td></tr>
            <tr class="" id="l7"><td><a href="#l7"><span>7</span></a></td><td><pre>            'type': 'person'}],
</pre></td></tr>
            <tr class="" id="l8"><td><a href="#l8"><span>8</span></a></td><td><pre> 'quality': 'experimental',
</pre></td></tr>
            <tr class="" id="l9"><td><a href="#l9"><span>9</span></a></td><td><pre> 'source': [{'type': 'git', 'uri': 'https://github.com/filalex77/bright.git'}],
</pre></td></tr>
            <tr class="" id="l10"><td><a href="#l10"><span>10</span></a></td><td><pre> 'status': 'unofficial'}
</pre></td></tr>
            <tr class="" id="l11"><td><a href="#l11"><span>11</span></a></td><td><pre>$ pmaint sync bright
</pre></td></tr>
            <tr class="" id="l12"><td><a href="#l12"><span>12</span></a></td><td><pre>WARNING:pkgcore:EAPI '7' isn't fully supported
</pre></td></tr>
            <tr class="" id="l13"><td><a href="#l13"><span>13</span></a></td><td><pre>WARNING:pkgcore:'genthree' repo at '/var/lib/repo-mirror-ci/sync/genthree' has unsupported profile format: profile-bashrcs
</pre></td></tr>
            <tr class="" id="l14"><td><a href="#l14"><span>14</span></a></td><td><pre>WARNING:pkgcore:'xelnor' repo at '/var/lib/repo-mirror-ci/sync/xelnor' has unsupported profile format: build-id
</pre></td></tr>
            <tr class="" id="l15"><td><a href="#l15"><span>15</span></a></td><td><pre>WARNING:pkgcore:'beatussum-overlay' repo at '/var/lib/repo-mirror-ci/sync/beatussum-overlay' has unsupported profile format: profile-default-eapi
</pre></td></tr>
            <tr class="" id="l16"><td><a href="#l16"><span>16</span></a></td><td><pre>WARNING:pkgcore:'genthree' repo at '/var/lib/repo-mirror-ci/sync/genthree' has unsupported profile format: profile-bashrcs
</pre></td></tr>
            <tr class="" id="l17"><td><a href="#l17"><span>17</span></a></td><td><pre>WARNING:pkgcore:'xelnor' repo at '/var/lib/repo-mirror-ci/sync/xelnor' has unsupported profile format: build-id
</pre></td></tr>
            <tr class="" id="l18"><td><a href="#l18"><span>18</span></a></td><td><pre>WARNING:pkgcore:'beatussum-overlay' repo at '/var/lib/repo-mirror-ci/sync/beatussum-overlay' has unsupported profile format: profile-default-eapi
</pre></td></tr>
            <tr class="" id="l19"><td><a href="#l19"><span>19</span></a></td><td><pre>From https://github.com/filalex77/bright
</pre></td></tr>
            <tr class="" id="l20"><td><a href="#l20"><span>20</span></a></td><td><pre>   b6dd3ce..81362f9  master     -&gt; origin/master
</pre></td></tr>
            <tr class="" id="l21"><td><a href="#l21"><span>21</span></a></td><td><pre>Updating b6dd3ce..81362f9
</pre></td></tr>
            <tr class="" id="l22"><td><a href="#l22"><span>22</span></a></td><td><pre>Fast-forward
</pre></td></tr>
            <tr class="" id="l23"><td><a href="#l23"><span>23</span></a></td><td><pre> app-misc/stig/Manifest                             |   5 -
</pre></td></tr>
            <tr class="" id="l24"><td><a href="#l24"><span>24</span></a></td><td><pre> app-misc/stig/stig-0.1.6.ebuild                    |   1 -
</pre></td></tr>
            <tr class="" id="l25"><td><a href="#l25"><span>25</span></a></td><td><pre> app-misc/stig/stig-9999.ebuild                     |   1 -
</pre></td></tr>
            <tr class="" id="l26"><td><a href="#l26"><span>26</span></a></td><td><pre> app-misc/stig/stig-ebuild-common                   |  29 -
</pre></td></tr>
            <tr class="" id="l27"><td><a href="#l27"><span>27</span></a></td><td><pre> media-sound/cmus/Manifest                          |   2 -
</pre></td></tr>
            <tr class="" id="l28"><td><a href="#l28"><span>28</span></a></td><td><pre> media-sound/cmus/cmus-9999.ebuild                  | 110 ---
</pre></td></tr>
            <tr class="" id="l29"><td><a href="#l29"><span>29</span></a></td><td><pre> media-sound/cmus/metadata.xml                      |  18 -
</pre></td></tr>
            <tr class="" id="l30"><td><a href="#l30"><span>30</span></a></td><td><pre> sys-libs/libsystemd/Manifest                       |  15 -
</pre></td></tr>
            <tr class="" id="l31"><td><a href="#l31"><span>31</span></a></td><td><pre> sys-libs/libsystemd/files/238-initctl.patch        |  46 --
</pre></td></tr>
            <tr class="" id="l32"><td><a href="#l32"><span>32</span></a></td><td><pre> .../libsystemd/files/238-libmount-include.patch    |  72 --
</pre></td></tr>
            <tr class="" id="l33"><td><a href="#l33"><span>33</span></a></td><td><pre> sys-libs/libsystemd/files/238-nspawn-wait.patch    |  83 --
</pre></td></tr>
            <tr class="" id="l34"><td><a href="#l34"><span>34</span></a></td><td><pre> .../libsystemd/files/libsystemd-237-libsystemd.sym | 405 ---------
</pre></td></tr>
            <tr class="" id="l35"><td><a href="#l35"><span>35</span></a></td><td><pre> .../libsystemd/files/libsystemd-237-meson.build    | 830 -------------------
</pre></td></tr>
            <tr class="" id="l36"><td><a href="#l36"><span>36</span></a></td><td><pre> .../files/libsystemd-237-meson_build.patch         |  64 --
</pre></td></tr>
            <tr class="" id="l37"><td><a href="#l37"><span>37</span></a></td><td><pre> .../libsystemd/files/libsystemd-238-libsystemd.sym | 411 ----------
</pre></td></tr>
            <tr class="" id="l38"><td><a href="#l38"><span>38</span></a></td><td><pre> .../libsystemd/files/libsystemd-238-meson.build    | 907 ---------------------
</pre></td></tr>
            <tr class="" id="l39"><td><a href="#l39"><span>39</span></a></td><td><pre> .../files/libsystemd-238-meson_build.patch         |  23 -
</pre></td></tr>
            <tr class="" id="l40"><td><a href="#l40"><span>40</span></a></td><td><pre> sys-libs/libsystemd/libsystemd-237.ebuild          | 161 ----
</pre></td></tr>
            <tr class="" id="l41"><td><a href="#l41"><span>41</span></a></td><td><pre> sys-libs/libsystemd/libsystemd-238.ebuild          | 174 ----
</pre></td></tr>
            <tr class="" id="l42"><td><a href="#l42"><span>42</span></a></td><td><pre> sys-libs/libsystemd/metadata.xml                   |  14 -
</pre></td></tr>
            <tr class="" id="l43"><td><a href="#l43"><span>43</span></a></td><td><pre> .../stig =&gt; x11-misc/i3lock-color}/metadata.xml    |   2 +-
</pre></td></tr>
            <tr class="" id="l44"><td><a href="#l44"><span>44</span></a></td><td><pre> 21 files changed, 1 insertion(+), 3372 deletions(-)
</pre></td></tr>
            <tr class="" id="l45"><td><a href="#l45"><span>45</span></a></td><td><pre> delete mode 100644 app-misc/stig/Manifest
</pre></td></tr>
            <tr class="" id="l46"><td><a href="#l46"><span>46</span></a></td><td><pre> delete mode 120000 app-misc/stig/stig-0.1.6.ebuild
</pre></td></tr>
            <tr class="" id="l47"><td><a href="#l47"><span>47</span></a></td><td><pre> delete mode 120000 app-misc/stig/stig-9999.ebuild
</pre></td></tr>
            <tr class="" id="l48"><td><a href="#l48"><span>48</span></a></td><td><pre> delete mode 100644 app-misc/stig/stig-ebuild-common
</pre></td></tr>
            <tr class="" id="l49"><td><a href="#l49"><span>49</span></a></td><td><pre> delete mode 100644 media-sound/cmus/Manifest
</pre></td></tr>
            <tr class="" id="l50"><td><a href="#l50"><span>50</span></a></td><td><pre> delete mode 100644 media-sound/cmus/cmus-9999.ebuild
</pre></td></tr>
            <tr class="" id="l51"><td><a href="#l51"><span>51</span></a></td><td><pre> delete mode 100644 media-sound/cmus/metadata.xml
</pre></td></tr>
            <tr class="" id="l52"><td><a href="#l52"><span>52</span></a></td><td><pre> delete mode 100644 sys-libs/libsystemd/Manifest
</pre></td></tr>
            <tr class="" id="l53"><td><a href="#l53"><span>53</span></a></td><td><pre> delete mode 100644 sys-libs/libsystemd/files/238-initctl.patch
</pre></td></tr>
            <tr class="" id="l54"><td><a href="#l54"><span>54</span></a></td><td><pre> delete mode 100644 sys-libs/libsystemd/files/238-libmount-include.patch
</pre></td></tr>
            <tr class="" id="l55"><td><a href="#l55"><span>55</span></a></td><td><pre> delete mode 100644 sys-libs/libsystemd/files/238-nspawn-wait.patch
</pre></td></tr>
            <tr class="" id="l56"><td><a href="#l56"><span>56</span></a></td><td><pre> delete mode 100644 sys-libs/libsystemd/files/libsystemd-237-libsystemd.sym
</pre></td></tr>
            <tr class="" id="l57"><td><a href="#l57"><span>57</span></a></td><td><pre> delete mode 100644 sys-libs/libsystemd/files/libsystemd-237-meson.build
</pre></td></tr>
            <tr class="" id="l58"><td><a href="#l58"><span>58</span></a></td><td><pre> delete mode 100644 sys-libs/libsystemd/files/libsystemd-237-meson_build.patch
</pre></td></tr>
            <tr class="" id="l59"><td><a href="#l59"><span>59</span></a></td><td><pre> delete mode 100644 sys-libs/libsystemd/files/libsystemd-238-libsystemd.sym
</pre></td></tr>
            <tr class="" id="l60"><td><a href="#l60"><span>60</span></a></td><td><pre> delete mode 100644 sys-libs/libsystemd/files/libsystemd-238-meson.build
</pre></td></tr>
            <tr class="" id="l61"><td><a href="#l61"><span>61</span></a></td><td><pre> delete mode 100644 sys-libs/libsystemd/files/libsystemd-238-meson_build.patch
</pre></td></tr>
            <tr class="" id="l62"><td><a href="#l62"><span>62</span></a></td><td><pre> delete mode 100644 sys-libs/libsystemd/libsystemd-237.ebuild
</pre></td></tr>
            <tr class="" id="l63"><td><a href="#l63"><span>63</span></a></td><td><pre> delete mode 100644 sys-libs/libsystemd/libsystemd-238.ebuild
</pre></td></tr>
            <tr class="" id="l64"><td><a href="#l64"><span>64</span></a></td><td><pre> delete mode 100644 sys-libs/libsystemd/metadata.xml
</pre></td></tr>
            <tr class="" id="l65"><td><a href="#l65"><span>65</span></a></td><td><pre> rename {app-misc/stig =&gt; x11-misc/i3lock-color}/metadata.xml (72%)
</pre></td></tr>
            <tr class="" id="l66"><td><a href="#l66"><span>66</span></a></td><td><pre>*** syncing bright
</pre></td></tr>
            <tr class="" id="l67"><td><a href="#l67"><span>67</span></a></td><td><pre>*** synced bright
</pre></td></tr>
            <tr class="" id="l68"><td><a href="#l68"><span>68</span></a></td><td><pre> * Sync succeeded
</pre></td></tr>
            <tr class="" id="l69"><td><a href="#l69"><span>69</span></a></td><td><pre>$ git log --format=%ci -1
</pre></td></tr>
            <tr class="" id="l70"><td><a href="#l70"><span>70</span></a></td><td><pre>$ git show -q --pretty=format:%G? HEAD
</pre></td></tr>
            <tr class="" id="l71"><td><a href="#l71"><span>71</span></a></td><td><pre>$ pmaint regen --use-local-desc --pkg-desc-index -t 1 bright
</pre></td></tr>
            <tr class="" id="l72"><td><a href="#l72"><span>72</span></a></td><td><pre>WARNING:pkgcore:EAPI '7' isn't fully supported
</pre></td></tr>
            <tr class="" id="l73"><td><a href="#l73"><span>73</span></a></td><td><pre>WARNING:pkgcore:'genthree' repo at '/var/lib/repo-mirror-ci/repos/genthree' has unsupported profile format: profile-bashrcs
</pre></td></tr>
            <tr class="" id="l74"><td><a href="#l74"><span>74</span></a></td><td><pre>WARNING:pkgcore:'xelnor' repo at '/var/lib/repo-mirror-ci/repos/xelnor' has unsupported profile format: build-id
</pre></td></tr>
            <tr class="" id="l75"><td><a href="#l75"><span>75</span></a></td><td><pre>WARNING:pkgcore:'beatussum-overlay' repo at '/var/lib/repo-mirror-ci/repos/beatussum-overlay' has unsupported profile format: profile-default-eapi
</pre></td></tr>
            <tr class="" id="l76"><td><a href="#l76"><span>76</span></a></td><td><pre>WARNING:pkgcore:'genthree' repo at '/var/lib/repo-mirror-ci/repos/genthree' has unsupported profile format: profile-bashrcs
</pre></td></tr>
            <tr class="" id="l77"><td><a href="#l77"><span>77</span></a></td><td><pre>WARNING:pkgcore:'xelnor' repo at '/var/lib/repo-mirror-ci/repos/xelnor' has unsupported profile format: build-id
</pre></td></tr>
            <tr class="" id="l78"><td><a href="#l78"><span>78</span></a></td><td><pre>WARNING:pkgcore:'beatussum-overlay' repo at '/var/lib/repo-mirror-ci/repos/beatussum-overlay' has unsupported profile format: profile-default-eapi
</pre></td></tr>
            <tr class="" id="l79"><td><a href="#l79"><span>79</span></a></td><td><pre> * Cache regenerated successfully
</pre></td></tr>

        </table>
    </body>
</html>